OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [first/] [xess/] [xsv_cpld/] [svf/] [xsv_cpld_1.svf] - Rev 1765

Compare with Previous | Blame | View Log

TIR 0 ;
HIR 0 ;
TDR 0 ;
HDR 0 ;
// Validating chain...
TIR 0 ;
HIR 0 ;
TDR 0 ;
HDR 0 ;
SIR 8 TDI (ff) SMASK (ff) TDO (01) MASK (e3) ;
TIR 0 ;
HIR 0 ;
HDR 0 ;
TDR 0 ;
//Loading device with 'idcode' instruction.
SIR 8 TDI (fe) ;
SDR 32 TDI (00000000) SMASK (00000000) TDO (29506093) MASK (ffffffff) ;
//Check for Read/Write Protect.
SIR 8 TDI (ff) TDO (01) ;
//Loading device with 'ispen' instruction.
SIR 8 TDI (e8) ;
// Loading device with a 'berase' instruction. 
SIR 8 TDI (ed) ;
SDR 27 TDI (003ffffe) SMASK (003ffffe) ;
RUNTEST 1300000 TCK;
SDR 27 TDI (003ffffe) TDO (00000003) MASK (00000003) ;
SDR 27 TDI (007ffffe) SMASK (007ffffe) ;
RUNTEST 1300000 TCK;
SDR 27 TDI (007ffffe) TDO (00000003) MASK (00000003) ;
// Loading device with a 'fpgm' instruction. 
SIR 8 TDI (ea) SMASK (00) TDO (ff) MASK (00) ;
SDR 27 TDI (00000352) SMASK (07ffffff) TDO (00000003) MASK (00000000) ;
RUNTEST 160 TCK;
SDR 27 TDI (00000702) TDO (00000003) MASK (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00000b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00000f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000013aa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00002302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00002702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00002b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00002f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00003002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000040a2) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00004402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00004802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00004c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00005052) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00008302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00008752) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00008b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00008f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00009302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0000a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0000a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0000ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0000af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0000b0f2) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0000c006) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0000c4a2) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0000c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0000cc0a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0000d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00010302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00010702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00010b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00010f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00011302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00012302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00012702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00012b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00012f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00013002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00014002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00014402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00014802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00014c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00015002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00018302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00018702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00018a02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00018f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00019302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0001a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0001a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0001ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0001af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0001b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0001c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0001c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0001c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0001cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0001d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00020302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00020702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00020b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00020f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00021302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00022302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00022702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00022b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00022f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00023002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00024002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00024402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00024802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00024c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00025002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00028302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00028702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00028b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00028f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00029302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0002a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0002a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0002ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0002af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0002b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0002c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0002c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0002c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0002cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0002d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00030202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00030402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00030902) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00030f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00031202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00032002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00032702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00032802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00032f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00033002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00034002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00034402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00034802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00034c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00035002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00038202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00038442) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00038a0a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00038d0a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0003920a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0003a202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0003a402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0003a802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0003af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0003b0e2) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0003c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0003c4a2) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0003c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0003cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0003d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00040302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00040702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00040b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00040f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00041302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00042302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00042702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00042b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00042f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00043002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00044002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00044402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00044802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00044c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00045002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00048302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00048702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00048b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00048f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00049302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0004a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0004a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0004ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0004af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0004b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0004c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0004c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0004c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0004cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0004d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00050302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00050702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00050b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00050f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00051302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00052302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00052702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00052b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00052f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00053002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00054002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00054402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00054802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00054c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00055002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00058302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00058752) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00058b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00058f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00059302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0005a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0005a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0005ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0005af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0005b0f2) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0005c006) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0005c4a2) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0005c80a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0005cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0005d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00060102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00060402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00060b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00060e02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00061102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00062002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00062502) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00062802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00062e02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00063002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00064002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00064402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00064802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00064c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00065002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000681aa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00068502) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00068802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00068c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00069102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0006a0f2) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0006a402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0006a802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0006ac02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0006b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0006c05a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0006c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0006c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0006cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0006d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00070102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00070552) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00070b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00070e02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00071102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00072002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00072502) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00072802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00072e02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000730f2) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00074006) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000744a2) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00074802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00074c0a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00075002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00078102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00078502) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00078902) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00078caa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00079102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0007a002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0007a402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0007a802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0007acf2) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0007b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0007c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0007c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0007c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0007cc52) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0007d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00080102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00080502) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00080b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00080e02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00081102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00082002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00082502) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00082802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00082e02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00083002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00084002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00084402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00084802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00084c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00085002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00088312) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00088742) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00088b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00088f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00089302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0008a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0008a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0008ab12) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0008af12) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0008b0f2) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0008c006) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0008c4a2) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0008c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0008cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0008d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00090102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00090502) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00090b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00090e02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00091102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00092002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00092502) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00092802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00092e02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00093002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00094002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00094402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00094802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00094c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00095002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00098302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00098702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00098b22) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00098f22) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00099322) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0009a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0009a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0009ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0009af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0009b0c2) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0009c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0009c482) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0009c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0009cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0009d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000a0102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000a0702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000a0baa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000a0e02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000a1302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000a2102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000a2502) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000a2bf2) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000a2e02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000a3002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000a4002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000a4402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000a4852) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000a4c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000a5002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000a8302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000a8552) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000a8b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000a8f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000a9102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000aa302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000aa702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000aa802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000aaf02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000ab0f2) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000ac006) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000ac4a2) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000ac802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000acc0a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000ad002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000b0302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000b0702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000b0a02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000b0f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000b1202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000b2302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000b2702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000b2b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000b2f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000b3002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000b4002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000b4402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000b4802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000b4c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000b5002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000b8302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000b8702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000b8b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000b8e02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000b9102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000ba102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000ba702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000baa02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000bae02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000bb002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000bc002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000bc402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000bc802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000bcc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000bd002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000c0102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000c05aa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000c0b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000c0f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000c1302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000c2302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000c25f2) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000c2902) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000c2f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000c3002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000c4002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000c445a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000c4802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000c4c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000c5002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000c8302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000c8502) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000c8902) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000c8f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000c9302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000ca306) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000ca702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000ca902) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000cad02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000cb002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000cc002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000cc402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000cc802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000ccc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000cd002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000d0102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000d0402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000d0a02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000d0e02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000d1002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000d2002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000d2502) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000d2802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000d2e02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000d3002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000d4002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000d4402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000d4802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000d4c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000d5002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000d8142) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000d8502) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000d8b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000d8e02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000d9102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000da002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000da502) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000da842) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000dae42) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000db0e2) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000dc002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000dc482) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000dc802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000dcc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000dd002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000e0302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000e0602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000e0a02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000e0f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000e1202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000e2302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000e2702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000e2b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000e2f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000e3002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000e4002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000e4402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000e4802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000e4c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000e5002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000e8302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000e8742) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000e8b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000e8f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000e9302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000ea302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000ea702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000eab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000eaf02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000eb0e2) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000ec006) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000ec4a2) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000ec812) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000ecc12) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000ed012) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000f0302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000f0702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000f0b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000f0f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000f1302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000f2302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000f2702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000f2b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000f2f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000f3002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000f4002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000f4402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000f4802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000f4c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000f5002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000f8302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000f8702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000f8b82) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000f8f82) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000f9382) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000fa302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000fa702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000fab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000faf02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000fb002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000fc002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000fc482) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000fc802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000fcc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (000fd002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00100302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00100702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00100b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00100f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00101302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00102302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00102702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00102b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00102f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00103002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00104002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00104402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00104802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00104c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00105002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00108302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00108702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00108b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00108f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00109302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0010a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0010a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0010ab82) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0010af82) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0010b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0010c082) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0010c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0010c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0010cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0010d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00110302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00110702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00110b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00110f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00111302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00112302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00112702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00112b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00112f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00113002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00114002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00114402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00114802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00114c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00115002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00118202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00118642) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00118802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00118d02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00119202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0011a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0011a602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0011ab22) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0011ad22) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0011b0e2) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0011c022) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0011c482) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0011c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0011cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0011d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00120102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00120502) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00120b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00120e02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00121102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00122002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00122502) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00122802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00122e02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00123002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00124002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00124402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00124802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00124c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00125002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00128102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00128502) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00128b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00128e02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00129102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0012a002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0012a502) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0012a802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0012ae02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0012b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0012c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0012c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0012c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0012cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0012d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00130302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00130702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00130b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00130f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00131302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00132302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00132702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00132b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00132f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00133002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00134002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00134402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00134802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00134c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00135002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00138302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00138702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00138b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00138f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00139302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0013a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0013a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0013ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0013af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0013b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0013c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0013c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0013c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0013cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0013d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00140102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00140502) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00140b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00140e02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00141102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00142002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00142502) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00142802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00142e02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00143002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00144002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00144402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00144802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00144c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00145002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00148302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00148702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00148b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00148f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00149302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0014a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0014a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0014ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0014af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0014b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0014c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0014c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0014c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0014cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0014d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00150302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00150702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00150b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00150f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00151302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00152302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00152702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00152b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00152f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00153002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00154002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00154402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00154802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00154c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00155002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00158302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00158702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00158b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00158f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00159302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0015a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0015a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0015ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0015af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0015b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0015c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0015c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0015c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0015cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0015d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00160252) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00160602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001608aa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00160daa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001612aa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00162302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00162602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00162bf2) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00162df2) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00163002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001640a2) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00164402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0016485a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00164c52) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00165052) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00168102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00168502) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00168b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00168e02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00169102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0016a002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0016a502) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0016a802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0016ae02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0016b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0016c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0016c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0016c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0016cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0016d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00170302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00170702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00170b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00170f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00171302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00172302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00172702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00172b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00172f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00173002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00174002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00174402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00174802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00174c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00175002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00178302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00178702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00178b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00178f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00179302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0017a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0017a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0017ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0017af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0017b082) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0017c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0017c482) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0017c842) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0017cc42) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0017d042) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00180302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00180702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00180b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00180f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00181302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00182302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00182702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00182b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00182f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00183002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00184002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00184402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00184802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00184c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00185002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00188102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00188402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00188a02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00188e02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00189002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0018a002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0018a502) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0018a802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0018ae02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0018b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0018c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0018c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0018c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0018cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0018d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00190102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00190402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00190802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00190c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00191102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00192002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00192402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00192802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00192c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00193002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00194002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00194402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00194802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00194c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00195002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00198102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00198502) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00198902) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00198c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00199102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0019a002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0019a402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0019a802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0019ac02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0019b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0019c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0019c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0019c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0019cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0019d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001a0102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001a0502) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001a0b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001a0e02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001a1102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001a2002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001a2502) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001a2802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001a2e02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001a3002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001a4002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001a4402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001a4802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001a4c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001a5002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001a8102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001a8502) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001a8b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001a8e02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001a9102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001aa002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001aa502) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001aa802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001aae02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001ab002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001ac002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001ac402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001ac802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001acc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001ad002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001b0102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001b0502) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001b0b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001b0e02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001b1102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001b2102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001b2502) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001b2802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001b2e02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001b3002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001b4002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001b4402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001b4802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001b4c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001b5002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001b8202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001b8702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001b8b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001b8f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001b9302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001ba302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001ba702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001bab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001baf02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001bb002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001bc002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001bc402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001bc802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001bcc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001bd002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001c0302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001c0702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001c0a02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001c0f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001c1302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001c2102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001c2702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001c2b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001c2f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001c3002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001c4002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001c4402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001c4802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001c4c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001c5002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001c8002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001c8602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001c8a02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001c8d02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001c9102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001ca302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001ca702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001caa02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001caf02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001cb002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001cc002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001cc402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001cc802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001ccc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001cd002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001d0302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001d0702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001d0a02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001d0f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001d1302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001d2302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001d2702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001d2a02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001d2e02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001d3002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001d4002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001d4402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001d4802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001d4c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001d5002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001d8202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001d8702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001d8b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001d8e02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001d9102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001da302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001da602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001daa02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001daf02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001db002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001dc002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001dc402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001dc802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001dcc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001dd002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001e0302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001e0702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001e0a02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001e0f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001e1102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001e2302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001e2602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001e2a02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001e2f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001e3002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001e4002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001e4402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001e4802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001e4c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001e5002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001e8302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001e8702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001e8b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001e8f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001e9302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001ea302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001ea702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001eab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001eaf02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001eb002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001ec002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001ec402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001ec802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001ecc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001ed002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001f0302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001f0702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001f0b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001f0f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001f1102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001f2302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001f2602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001f2a02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001f2f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001f3002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001f4002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001f4402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001f4802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001f4c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001f5002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001f8302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001f8702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001f8b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001f8f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001f9302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001fa302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001fa702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001fab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001faf02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001fb002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001fc002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001fc402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001fc802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001fcc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (001fd002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00200302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00200702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00200b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00200f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00201302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00202302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00202702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00202b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00202f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00203002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00204002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00204402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00204802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00204c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00205002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00208302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00208702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00208b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00208f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00209302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0020a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0020a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0020ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0020af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0020b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0020c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0020c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0020c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0020cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0020d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00210302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00210702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00210b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00210f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00211302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00212302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00212702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00212b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00212f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00213002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00214002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00214402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00214802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00214c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00215002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00218202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00218602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00218b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00218f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00219302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0021a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0021a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0021ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0021af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0021b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0021c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0021c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0021c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0021cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0021d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00220302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00220702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00220b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00220f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00221302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00222302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00222602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00222b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00222f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00223002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00224002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00224402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00224802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00224c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00225002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00228302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00228702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00228b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00228f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00229302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0022a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0022a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0022ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0022af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0022b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0022c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0022c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0022c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0022cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0022d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00230302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00230702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00230b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00230f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00231302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00232302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00232702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00232b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00232f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00233002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00234002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00234402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00234802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00234c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00235002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00238302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00238702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00238b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00238f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00239302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0023a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0023a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0023ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0023af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0023b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0023c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0023c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0023c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0023cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0023d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004003fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004005fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004009fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00400dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004011fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004023fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004025fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004029fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00402dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004031fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004043fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004045fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004049fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00404dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004051fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004063fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004065fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004069fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00406dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004071fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004083fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004085fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004089fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00408dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004091fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0040a3fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0040a5fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0040a9fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0040adfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0040b1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0040c3fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0040c5fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0040c9fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0040cdfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0040d1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0040e3fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0040e5fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0040e9fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0040edfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0040f1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004103fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004105fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004109fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00410dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004111fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004123fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004125fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004129fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00412dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004131fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004143fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004145fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004149fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00414dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004151fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004163fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004165fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004169fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00416dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004171fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004183fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004185fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004189fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00418dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004191fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0041a3fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0041a5fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0041a9fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0041adfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0041b1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0041c3fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0041c5fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0041c9fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0041cdfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0041d1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0041e3fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0041e5fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0041e9fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0041edfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0041f1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004203fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004205fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004209fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00420dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004211fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004223fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004225fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004229fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00422dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004231fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004403fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004405fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004409fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00440dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004411fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004423fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004425fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004429fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00442dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004431fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004443fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004445fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004449fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00444dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004451fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004463fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004465fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004469fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00446dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004471fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004483fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004485fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004489fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00448dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004491fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0044a3fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0044a5fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0044a9fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0044adfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0044b1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0044c3fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0044c5fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0044c9fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0044cdfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0044d1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0044e3fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0044e5fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0044e9fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0044edfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0044f1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004503fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004505fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004509fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00450dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004511fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004523fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004525fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004529fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00452dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004531fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004543fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004545fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004549fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00454dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004551fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004563fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004565fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004569fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00456dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004571fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004583fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004585fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004589fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00458dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004591fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0045a3fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0045a5fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0045a9fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0045adfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0045b1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0045c3fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0045c5fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0045c9fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0045cdfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0045d1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0045e3fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0045e5fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0045e9fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0045edfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0045f1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004603fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004605fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004609fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00460dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004611fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004623fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004625fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004629fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00462dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004631fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004803fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004805fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004809fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00480dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004811fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004823fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004825fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004829fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00482dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004831fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004843fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004845fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004849fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00484dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004851fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004863fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004865fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004869fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00486dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004871fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004883fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004885fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004889fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00488dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004891fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0048a3fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0048a5fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0048a9fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0048adfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0048b1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0048c3fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0048c5fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0048c9fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0048cdfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0048d1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0048e3fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0048e5fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0048e9fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0048edfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0048f1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004903fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004905fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004909fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00490dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004911fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004923fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004925fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004929fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00492dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004931fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004943fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004945fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004949fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00494dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004951fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004963fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004965fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004969fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00496dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004971fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004983fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004985fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004989fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00498dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004991fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0049a3fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0049a5fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0049a9fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0049adfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0049b1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0049c3fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0049c5fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0049c9fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0049cdfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0049d1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0049e3fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0049e5fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0049e9fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0049edfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0049f1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004a03fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004a05fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004a09fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004a0dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004a11fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004a23fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004a25fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004a29fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004a2dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004a31fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004c03fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004c05fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004c09fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004c0dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004c11fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004c23fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004c25fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004c29fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004c2dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004c31fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004c43fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004c45fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004c49fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004c4dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004c51fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004c63fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004c65fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004c69fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004c6dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004c71fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004c83fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004c85fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004c89fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004c8dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004c91fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004ca3fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004ca5fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004ca9fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004cadfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004cb1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004cc3fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004cc5fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004cc9fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004ccdfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004cd1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004ce3fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004ce5fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004ce9fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004cedfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004cf1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004d03fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004d05fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004d09fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004d0dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004d11fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004d23fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004d25fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004d29fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004d2dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004d31fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004d43fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004d45fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004d49fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004d4dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004d51fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004d63fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004d65fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004d69fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004d6dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004d71fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004d83fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004d85fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004d89fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004d8dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004d91fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004da3fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004da5fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004da9fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004dadfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004db1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004dc3fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004dc5fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004dc9fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004dcdfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004dd1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004de3fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004de5fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004de9fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004dedfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004df1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004e03fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004e05fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004e09fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004e0dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004e11fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004e23fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004e25fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004e29fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004e2dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (004e31fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005003fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005005fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005009fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00500dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005011fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005023fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005025fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005029fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00502dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005031fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005043fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005045fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005049fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00504dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005051fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005063fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005065fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005069fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00506dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005071fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005083fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005085fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005089fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00508dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005091fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0050a3fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0050a5fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0050a9fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0050adfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0050b1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0050c3fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0050c5fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0050c9fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0050cdfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0050d1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0050e3fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0050e5fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0050e9fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0050edfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0050f1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005103fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005105fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005109fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00510dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005111fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005123fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005125fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005129fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00512dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005131fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005143fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005145fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005149fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00514dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005151fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005163fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005165fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005169fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00516dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005171fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005183fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005185fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005189fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00518dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005191fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0051a3fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0051a5fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0051a9fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0051adfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0051b1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0051c3fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0051c5fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0051c9fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0051cdfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0051d1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0051e3fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0051e5fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0051e9fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0051edfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0051f1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005203fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005205fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005209fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00520dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005211fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005223fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005225fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005229fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00522dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005231fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005403fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005405fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005409fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00540dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005411fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005423fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005425fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005429fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00542dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005431fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005443fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005445fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005449fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00544dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005451fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005463fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005465fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005469fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00546dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005471fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005483fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005485fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005489fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00548dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005491fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0054a3fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0054a5fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0054a9fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0054adfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0054b1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0054c3fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0054c5fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0054c9fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0054cdfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0054d1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0054e3fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0054e5fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0054e9fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0054edfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0054f1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005503fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005505fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005509fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00550dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005511fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005523fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005525fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005529fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00552dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005531fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005543fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005545fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005549fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00554dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005551fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005563fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005565fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005569fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00556dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005571fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005583fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005585fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005589fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00558dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005591fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0055a3fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0055a5fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0055a9fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0055adfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0055b1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0055c3fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0055c5fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0055c9fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0055cdfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0055d1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0055e3fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0055e5fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0055e9fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0055edfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0055f1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005603fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005605fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005609fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00560dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005611fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005623fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005625fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005629fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00562dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (005631fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00800342) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008007ca) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00800bca) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00800fca) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008013ca) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00802312) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008027fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00802b72) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00802f62) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0080306a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00804002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00804456) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00804876) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00804c76) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0080506e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00808302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00808702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00808b06) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00808f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00809302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0080a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0080a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0080ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0080af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0080b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0080c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0080c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0080c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0080cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0080d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00810342) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008107ce) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00810bce) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00810fce) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008113ce) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00812312) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008127fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00812b76) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00812f66) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00813062) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00814002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008144d6) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008148f6) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00814cf6) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008150e6) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00818302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00818712) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00818b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00818f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00819302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0081a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0081a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0081ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0081af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0081b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0081c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0081c40a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0081c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0081cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0081d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00820302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00820702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00820b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00820f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00821302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00822302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00822786) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00822b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00822f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0082300a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00824002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00824402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00824802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00824c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0082500a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00828302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0082870e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00828b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00828f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00829302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0082a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0082a76a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0082ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0082af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0082b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0082c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0082c456) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0082c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0082cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0082d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00830302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00830702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00830b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00830f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00831302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00832302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00832786) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00832b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00832f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0083300a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00834002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00834406) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00834806) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00834c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0083500a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00838302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00838702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00838bc2) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00838f0a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00839302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0083a312) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0083a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0083ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0083af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0083b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0083c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0083c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0083c822) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0083cc06) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0083d082) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00840302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0084070a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00840b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00840f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00841302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00842302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00842786) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00842b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00842f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0084300a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00844002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00844406) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00844806) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00844c06) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0084500e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00848302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008487c2) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00848b0a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00848f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00849302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0084a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0084a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0084ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0084af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0084b022) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0084c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0084c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0084c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0084cc92) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0084d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00850342) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008507ce) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00850bce) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00850fce) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008513ce) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00852312) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008527fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00852b76) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00852f66) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0085306a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00854002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008544d6) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008548f6) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00854cf6) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008550e6) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00858302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00858702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00858b12) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00858f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00859302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0085a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0085a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0085ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0085af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0085b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0085c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0085c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0085c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0085cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0085d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00860002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00860402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00860802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00860e02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00861002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00862002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00862402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00862902) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00862c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00863002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00864002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00864402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00864802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00864c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00865002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00868222) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00868402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00868a02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00868c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00869002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0086a002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0086a402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0086aa02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0086ae02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0086b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0086c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0086c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0086c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0086cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0086d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00870042) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008704ce) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008708ce) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00870ece) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008710ce) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00872012) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008726fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00872b76) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00872e66) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0087306a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00874002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008744d6) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008748f6) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00874cf6) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008750ee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00878302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00878702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00878b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00878d02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00879302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0087a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0087a502) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0087a802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0087ad02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0087b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0087c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0087c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0087c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0087cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0087d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00880002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0088050a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00880a0a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00880f0a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0088110a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00882002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0088268e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00882b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00882e02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0088300a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00884002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00884406) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00884806) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00884c06) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0088500e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00888342) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00888602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00888902) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00888c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00889202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0088a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0088a502) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0088aa02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0088ad22) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0088b042) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0088c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0088c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0088c892) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0088cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0088d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00890002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00890502) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00890b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00890f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00891102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00892102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00892602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00892b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00892e02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00893002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00894002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00894402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00894802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00894c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00895002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00898302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00898602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00898802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00898c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00899222) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0089a202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0089a502) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0089ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0089ad02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0089b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0089c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0089c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0089c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0089cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0089d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008a0002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008a050a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008a0b0a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008a0f0a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008a130a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008a2112) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008a269e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008a2b12) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008a2f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008a300a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008a4002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008a4416) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008a4816) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008a4c16) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008a500e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008a8302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008a8702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008a8802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008a8c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008a9002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008aa202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008aa502) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008aab22) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008aac42) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008ab002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008ac002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008ac482) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008ac802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008acc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008ad042) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008b0302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008b0602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008b0b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008b0f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008b1302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008b2202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008b2602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008b2a02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008b2f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008b3002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008b4002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008b4402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008b4802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008b4c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008b5002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008b8002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008b8702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008b8b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008b8e22) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008b9002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008ba002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008ba602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008bab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008bae02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008bb002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008bc002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008bc402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008bc802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008bcc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008bd002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008c0202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008c0502) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008c0b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008c0f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008c1302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008c2102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008c2502) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008c2b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008c2f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008c3002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008c4022) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008c4402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008c4802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008c4c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008c5012) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008c8322) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008c8622) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008c8922) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008c8f22) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008c9322) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008ca302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008ca502) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008ca902) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008caf02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008cb002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008cc002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008cc422) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008cc802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008ccc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008cd002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008d0002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008d040a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008d080a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008d0e0a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008d100a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008d2012) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008d24be) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008d2832) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008d2c22) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008d302a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008d4002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008d4416) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008d4836) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008d4c36) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008d502e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008d8302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008d8702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008d8b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008d8f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008d9306) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008da302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008da702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008dab42) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008daf02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008db002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008dc002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008dc402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008dc802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008dcc42) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008dd002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008e0142) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008e074a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008e094a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008e0f4a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008e134a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008e2312) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008e25fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008e2872) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008e2f62) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008e302a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008e4002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008e4416) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008e4836) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008e4c36) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008e502e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008e8302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008e8702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008e8b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008e8f06) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008e9302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008ea302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008ea702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008eab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008eaf02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008eb002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008ec002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008ec402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008ec842) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008ecc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008ed002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008f0342) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008f07ce) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008f0bce) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008f0fce) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008f13ce) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008f2312) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008f27fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008f2b76) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008f2f66) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008f3062) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008f4002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008f44d6) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008f48f6) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008f4cf6) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008f50e6) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008f8302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008f8702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008f8b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008f8f12) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008f9302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008fa302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008fa702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008fab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008faf02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008fb002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008fc002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008fc402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008fc80a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008fcc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (008fd002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00900302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00900702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00900b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00900f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00901302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00902302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00902702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00902b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00902f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00903002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00904002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00904402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00904802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00904c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00905002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0090839e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00908702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00908b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00908f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00909302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0090a36e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0090a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0090ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0090af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0090b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0090c05e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0090c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0090c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0090cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0090d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00910302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00910702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00910b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00910f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00911302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00912302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00912702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00912b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00912f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00913002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00914082) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00914402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00914802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00914c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00915002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00918102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00918702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00918902) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00918d02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00919302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0091a382) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0091a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0091aa02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0091af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0091b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0091c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0091c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0091c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0091cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0091d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00920242) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009204ce) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00920ace) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00920ece) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009210ce) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00922012) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009224fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00922b76) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00922e66) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00923062) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00924002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009244d6) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009248f6) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00924cf6) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009250e6) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00928202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00928402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00928a02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00928e02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00929012) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0092a002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0092a402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0092a90a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0092ac02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0092b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0092c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0092c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0092c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0092cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0092d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00930342) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009307ce) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00930bce) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00930fce) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009313ce) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00932312) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009327fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00932b76) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00932f66) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00933062) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00934002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009344d6) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009348f6) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00934cf6) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009350e6) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00938302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00938702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00938b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00938f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00939302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0093a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0093a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0093ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0093af0a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0093b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0093c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0093c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0093c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0093cc0a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0093d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00940242) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009404ce) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00940ace) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00940ece) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009410ce) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00942012) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009426fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00942976) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00942e66) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0094306a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00944002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009444d6) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009448f6) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00944cf6) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009450ee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00948302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00948702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00948b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00948f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00949302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0094a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0094a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0094ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0094af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0094b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0094c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0094c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0094c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0094cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0094d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00950302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00950702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00950b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00950f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00951302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00952302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00952702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00952b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00952f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00953002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00954002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00954402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00954802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00954c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00955002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00958302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00958722) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00958b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00958f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00959302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0095a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0095a502) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0095ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0095af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0095b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0095c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0095c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0095c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0095cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0095d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00960142) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009607ce) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009609ce) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00960dce) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009613ce) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00962312) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009625fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00962876) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00962f66) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0096306a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00964002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009644d6) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009648f6) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00964cf6) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009650ee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00968202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00968402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00968a02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00968e02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00969002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0096a002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0096a602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0096ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0096ac02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0096b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0096c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0096c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0096c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0096cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0096d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00970202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00970502) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00970a02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00970e02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00971002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00972002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00972602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00972b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00972e02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00973002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00974002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00974402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00974802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00974c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00975002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00978302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00978702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00978b22) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00978f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00979302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0097a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0097a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0097ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0097af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0097b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0097c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0097c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0097c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0097cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0097d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00980342) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009807ce) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00980bce) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00980fce) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009813ce) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00982312) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009827fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00982b76) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00982f66) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0098306a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00984002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009844d6) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009848f6) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00984cf6) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009850ee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00988102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00988702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00988902) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00988f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00989302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0098a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0098a502) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0098a802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0098af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0098b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0098c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0098c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0098c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0098cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0098d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00990042) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009904ce) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009908ce) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00990cce) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009910ce) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00992012) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009924fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00992876) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00992c66) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0099306a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00994002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009944d6) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009948f6) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00994cf6) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009950ee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00998002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00998402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00998802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00998c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00999002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0099a002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0099a402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0099a802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0099ac02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0099b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0099c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0099c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0099c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0099cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0099d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009a0002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009a040a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009a080a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009a0c0a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009a100a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009a2002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009a248e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009a2a02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009a2c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009a300a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009a4002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009a4406) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009a4806) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009a4c06) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009a500e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009a8002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009a8402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009a8802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009a8cc2) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009a9002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009aa002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009aa412) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009aab06) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009aac02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009ab002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009ac002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009ac402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009ac802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009acc22) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009ad002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009b0002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009b0502) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009b0802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009b0c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009b1002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009b2002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009b2486) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009b2b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009b2c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009b300a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009b4002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009b4402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009b4802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009b4c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009b500a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009b8302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009b8602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009b8b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009b8f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009b93ca) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009ba102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009ba602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009baa12) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009baf06) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009bb002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009bc002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009bc402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009bc806) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009bcc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009bd026) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009c0302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009c0702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009c0a02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009c0f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009c1302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009c2102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009c2602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009c2a02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009c2f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009c3002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009c4022) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009c4402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009c4802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009c4c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009c5012) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009c8302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009c8602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009c8a02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009c8f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009c9302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009ca102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009ca702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009caa02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009caf02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009cb002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009cc002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009cc402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009cc802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009ccc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009cd002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009d0202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009d0722) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009d0a02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009d0d02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009d1102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009d2102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009d2602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009d2a02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009d2f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009d3002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009d4002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009d4422) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009d4802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009d4c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009d5002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009d8222) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009d8702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009d8b22) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009d8e22) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009d9322) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009da102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009da602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009daa02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009daf02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009db002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009dc002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009dc402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009dc802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009dcc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009dd002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009e0202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009e0702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009e0b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009e0f22) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009e1322) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009e2102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009e2602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009e2a02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009e2f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009e3002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009e4002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009e4422) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009e4802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009e4c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009e5002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009e8222) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009e8722) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009e8b22) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009e8d02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009e9302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009ea302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009ea602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009eab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009eaf02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009eb002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009ec002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009ec402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009ec802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009ecc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009ed002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009f0202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009f0702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009f0b22) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009f0c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009f1122) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009f2102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009f2602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009f2b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009f2f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009f3002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009f4002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009f4422) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009f4802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009f4c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009f5002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009f8222) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009f8722) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009f8b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009f8d22) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009f9302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009fa302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009fa602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009fab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009faf02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009fb002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009fc002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009fc402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009fc802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009fcc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (009fd002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a00202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a00702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a00b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a00d02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a01302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a02302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a02602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a02b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a02f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a03002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a04002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a04402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a04802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a04c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a05002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a08202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a08702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a08b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a08d02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a09302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a0a382) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a0a602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a0ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a0af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a0b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a0c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a0c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a0c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a0cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a0d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a10202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a10702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a10b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a10f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a11302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a12302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a12702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a12b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a12f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a13002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a14002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a14402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a14802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a14c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a15002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a18202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a18602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a18b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a18f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a19302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a1a382) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a1a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a1ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a1af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a1b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a1c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a1c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a1c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a1cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a1d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a20302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a20702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a20b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a20f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a21302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a22302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a22602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a22b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a22f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a23002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a24002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a24402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a24802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a24c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a25002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a28302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a28702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a28b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a28f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a29302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a2a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a2a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a2ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a2af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a2b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a2c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a2c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a2c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a2cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a2d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a30302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a30702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a30b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a30f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a31302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a32302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a32702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a32b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a32f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a33002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a34002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a34402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a34802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a34c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a35002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a38302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a38702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a38b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a38f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a39302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a3a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a3a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a3ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a3af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a3b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a3c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a3c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a3c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a3cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00a3d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c0021e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c0047e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c0083e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c00c5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c0111e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c0221e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c0247e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c0283e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c02c5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c0311e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c0421e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c0447e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c0483e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c04c5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c0511e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c0621e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c0647e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c0683e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c06c5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c0711e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c0821e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c0847e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c0883e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c08c5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c0911e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c0a21e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c0a47e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c0a83e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c0ac5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c0b11e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c0c21e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c0c47e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c0c83e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c0cc5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c0d11e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c0e21e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c0e47e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c0e83e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c0ec5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c0f11e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c1021e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c1047e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c1083e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c10c5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c1111e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c1221e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c1247e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c1283e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c12c5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c1311e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c1421e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c1447e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c1483e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c14c5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c1511e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c1621e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c1647e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c1683e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c16c5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c1711e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c1821e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c1847e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c1883e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c18c5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c1911e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c1a21e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c1a47e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c1a83e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c1ac5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c1b11e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c1c21e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c1c47e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c1c83e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c1cc5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c1d11e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c1e21e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c1e47e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c1e83e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c1ec5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c1f11e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c2021e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c2047e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c2083e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c20c5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c2111e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c2221e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c2247e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c2283e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c22c5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c2311e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c4021e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c4047e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c4083e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c40c5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c4111e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c4221e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c4247e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c4283e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c42c5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c4311e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c4421e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c4447e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c4483e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c44c5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c4511e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c4621e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c4647e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c4683e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c46c5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c4711e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c4821e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c4847e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c4883e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c48c5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c4911e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c4a21e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c4a47e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c4a83e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c4ac5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c4b11e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c4c21e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c4c47e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c4c83e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c4cc5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c4d11e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c4e21e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c4e47e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c4e83e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c4ec5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c4f11e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c5021e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c5047e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c5083e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c50c5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c5111e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c5221e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c5247e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c5283e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c52c5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c5311e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c5421e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c5447e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c5483e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c54c5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c5511e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c5621e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c5647e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c5683e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c56c5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c5711e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c5821e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c5847e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c5883e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c58c5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c5911e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c5a21e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c5a47e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c5a83e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c5ac5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c5b11e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c5c21e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c5c47e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c5c83e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c5cc5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c5d11e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c5e21e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c5e47e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c5e83e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c5ec5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c5f11e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c6021e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c6047e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c6083e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c60c5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c6111e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c6221e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c6247e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c6283e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c62c5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c6311e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c8021e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c8047e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c8093e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c80c5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c8111e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c8221e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c8247e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c8283e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c82c5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c8311e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c8421e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c8447e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c8483e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c84c5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c8511e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c8621e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c8647e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c8683e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c86d5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c8711e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c8821e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c8847e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c888be) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c88c5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c8911e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c8a23e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c8a47e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c8a83e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c8ac5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c8b11e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c8c21e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c8c47e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c8c83e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c8cc7e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c8d11e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c8e21e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c8e4fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c8e83e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c8ec5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c8f11e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c9021e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c9047e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c9083e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c90c5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c9113e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c9221e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c9247e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c9283e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c92c5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c9311e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c9425e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c9447e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c9483e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c94c5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c9511e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c9621e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c9647e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c9683e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c96c5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c9715e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c9821e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c9847e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c9883e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c98c5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c9911e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c9a21e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c9a47e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c9a83e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c9ac5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c9b11e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c9c21e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c9c47e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c9c87e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c9cc5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c9d11e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c9e21e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c9e47e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c9e83e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c9ec5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00c9f11e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00ca021e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00ca047e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00ca083e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00ca0c5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00ca111e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00ca229e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00ca247e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00ca283e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00ca2c5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00ca311e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00cc021e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00cc047e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00cc083e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00cc0c5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00cc111e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00cc221e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00cc247e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00cc283e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00cc2c5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00cc311e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00cc421e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00cc447e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00cc483e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00cc4c5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00cc511e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00cc621e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00cc647e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00cc683e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00cc6c5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00cc711e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00cc821e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00cc847e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00cc883e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00cc8c5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00cc911e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00cca21e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00cca47e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00cca83e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00ccac5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00ccb11e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00ccc21e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00ccc47e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00ccc83e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00cccc5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00ccd11e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00cce21e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00cce47e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00cce83e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00ccec5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00ccf11e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00cd021e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00cd047e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00cd083e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00cd0c5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00cd111e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00cd221e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00cd247e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00cd283e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00cd2c5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00cd311e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00cd421e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00cd447e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00cd483e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00cd4c5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00cd511e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00cd621e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00cd647e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00cd683e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00cd6c5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00cd711e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00cd821e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00cd847e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00cd883e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00cd8c5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00cd911e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00cda21e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00cda47e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00cda83e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00cdac5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00cdb11e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00cdc21e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00cdc47e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00cdc83e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00cdcc5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00cdd11e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00cde21e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00cde47e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00cde83e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00cdec5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00cdf11e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00ce021e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00ce047e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00ce083e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00ce0c5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00ce111e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00ce221e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00ce247e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00ce283e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00ce2c5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00ce311e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d0021e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d0047e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d0083e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d00c5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d0119e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d0221e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d0247e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d0283e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d02c5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d0311e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d0421e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d0447e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d0483e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d04c5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d0511e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d0621e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d0647e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d0683e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d06c5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d0711e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d0821e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d0847e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d0883e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d08c5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d0911e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d0a21e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d0a47e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d0a83e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d0ac5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d0b11e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d0c31e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d0c47e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d0c83e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d0cc5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d0d11e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d0e21e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d0e47e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d0e83e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d0ec5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d0f11e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d1021e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d1047e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d1083e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d10c5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d1111e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d1221e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d1257e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d1283e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d12c5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d1311e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d1421e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d1447e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d1483e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d14c5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d1511e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d1621e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d1647e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d1683e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d16cde) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d1711e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d1821e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d1847e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d1883e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d18c5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d1911e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d1a21e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d1a47e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d1a83e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d1ac5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d1b11e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d1c21e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d1c47e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d1c83e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d1cc5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d1d11e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d1e21e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d1e47e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d1e83e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d1ec5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d1f11e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d2021e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d2047e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d2083e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d20c5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d2111e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d2221e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d2247e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d2283e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d22c5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d2311e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d4021e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d4047e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d4083e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d40c5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d4111e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d4221e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d4247e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d4283e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d42c5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d4311e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d4421e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d4447e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d4483e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d44c5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d4511e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d4621e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d4647e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d4683e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d46c5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d4711e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d4821e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d4847e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d4883e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d48c5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d4911e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d4a21e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d4a47e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d4a83e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d4ac5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d4b11e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d4c21e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d4c47e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d4c83e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d4cc5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d4d11e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d4e21e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d4e47e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d4e83e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d4ec5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d4f11e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d5021e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d5047e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d5083e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d50c5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d5111e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d5221e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d5247e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d5283e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d52c5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d5311e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d5421e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d5447e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d5483e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d54c5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d5511e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d5621e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d5647e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d5683e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d56c5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d5711e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d5821e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d5847e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d5883e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d58c5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d5911e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d5a21e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d5a47e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d5a83e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d5ac5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d5b11e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d5c21e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d5c47e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d5c83e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d5cc5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d5d11e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d5e21e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d5e47e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d5e83e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d5ec5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d5f11e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d6021e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d6047e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d6083e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d60c5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d6111e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d6221e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d6247e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d6283e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d62c5e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (00d6311e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01000302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01000702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01000b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01000f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01001302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01002302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01002702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01002b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01002f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01003002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01004002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01004402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01004802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01004c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01005002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01008302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01008742) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01008b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01008f32) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01009306) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0100a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0100a71a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0100ab12) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0100af52) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0100b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0100c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0100c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0100c80a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0100cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0100d012) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01010302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01010702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01010b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01010f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01011302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01012302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01012702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01012b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01012f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01013002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01014002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01014402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01014802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01014c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01015002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0101830e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01018702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01018b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01018f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01019302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0101a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0101a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0101ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0101af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0101b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0101c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0101c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0101c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0101cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0101d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01020302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01020702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01020b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01020f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01021302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01022302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0102271a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01022b12) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01022f12) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01023002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01024002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01024402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01024802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01024c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01025002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01028322) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01028716) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01028b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01028f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01029302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0102a342) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0102a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0102ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0102af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0102b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0102c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0102c41a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0102c822) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0102cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0102d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01030302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01030702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01030b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01030f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01031302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01032302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01032702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01032b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01032f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01033002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01034002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01034402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01034802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01034c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01035002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01038302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01038702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01038b8a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01038f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01039302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0103a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0103a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0103ab06) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0103af06) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0103b006) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0103c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0103c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0103c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0103cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0103d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01040302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01040702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01040b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01040f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01041302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01042302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01042702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01042b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01042f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01043002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01044002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01044402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01044802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01044c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01045002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01048312) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01048702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01048b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01048f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01049302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0104a3be) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0104a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0104ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0104af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0104b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0104c0fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0104c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0104c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0104cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0104d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01050302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01050702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01050b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01050f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01051302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01052302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01052702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01052b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01052f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01053002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01054002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01054402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01054802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01054c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01055002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01058302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0105870a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01058b82) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01058f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01059302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0105a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0105a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0105ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0105af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0105b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0105c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0105c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0105c806) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0105cc06) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0105d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01060202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01060402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01060802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01060e02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01061202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01062002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01062602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01062802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01062c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01063002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01064002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01064402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01064802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01064c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01065002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01068106) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01068402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01068802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01068d02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01069002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0106a002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0106a402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0106a802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0106ac02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0106b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0106c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0106c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0106c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0106cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0106d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01070102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01070402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0107088a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01070f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01071202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01072002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01072402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01072802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01072c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01073002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01074002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01074402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01074802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01074c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01075006) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01078002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01078602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01078a02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01078c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01079102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0107a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0107a502) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0107a902) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0107af86) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0107b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0107c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0107c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0107c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0107cc06) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0107d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01080302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01080442) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01080802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01080f32) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01081306) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01082002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01082602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01082802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01082c42) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01083002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01084002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01084402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0108480a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01084c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01085012) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01088002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01088702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01088b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01088e02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01089102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0108a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0108a50a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0108ab12) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0108af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0108b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0108c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0108c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0108c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0108cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0108d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01090302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01090402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01090802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01090f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01091302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01092102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0109261a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01092812) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01092c12) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01093002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01094002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01094402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0109480a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01094c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01095002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01098002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01098702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01098b12) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01098f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01099102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0109a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0109a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0109ab22) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0109af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0109b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0109c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0109c482) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0109c852) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0109cc22) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0109d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010a0302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010a0402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010a0902) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010a0f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010a1302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010a2102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010a2702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010a2b86) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010a2c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010a3002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010a4002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010a4402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010a4806) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010a4c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010a5002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010a8202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010a8702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010a8a8a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010a8f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010a9302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010aa302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010aa702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010aa902) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010aaf02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010ab002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010ac002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010ac402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010ac802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010acc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010ad006) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010b0102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010b0502) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010b0902) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010b0f12) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010b1302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010b2002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010b261a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010b2b12) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010b2c12) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010b3002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010b4002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010b4402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010b480a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010b4c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010b5002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010b8302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010b8502) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010b8b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010b8f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010b9302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010ba102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010ba702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010ba902) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010bad22) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010bb002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010bc002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010bc402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010bc882) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010bcc52) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010bd022) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010c0302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010c0642) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010c0802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010c0f32) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010c1306) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010c2302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010c270a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010c2b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010c2d42) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010c3002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010c4002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010c4402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010c480a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010c4c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010c5012) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010c8202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010c8702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010c8a02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010c8c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010c9302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010ca302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010ca712) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010cab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010caf02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010cb002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010cc002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010cc402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010cc802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010ccc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010cd002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010d0042) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010d0402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010d0826) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010d0e02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010d1202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010d2002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010d2442) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010d2802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010d2c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010d3002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010d4002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010d4402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010d4802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010d4c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010d5002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010d8102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010d8602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010d8a02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010d8f12) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010d9302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010da302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010da51a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010da912) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010daf12) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010db002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010dc002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010dc402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010dc80a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010dcc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010dd012) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010e0302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010e0702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010e0b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010e0f12) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010e1302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010e2302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010e271a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010e2b12) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010e2f12) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010e3002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010e4002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010e4402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010e480a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010e4c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010e5012) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010e8302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010e8702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010e8b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010e8f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010e9302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010ea302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010ea722) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010eab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010eaf02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010eb082) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010ec002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010ec462) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010ec802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010ecc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010ed002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010f0302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010f0702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010f0b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010f0f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010f1302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010f2302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010f2702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010f2b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010f2f12) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010f3002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010f4002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010f4402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010f4802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010f4c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010f5002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010f8302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010f8742) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010f8b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010f8f32) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010f9306) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010fa302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010fa70a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010fab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010faf42) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010fb002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010fc002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010fc402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010fc80a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010fcc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (010fd012) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01100382) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0110070a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01100b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01100f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01101302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01102302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01102702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01102b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01102f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01103006) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01104002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01104402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01104802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01104c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01105002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01108302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01108702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01108b8a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01108f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01109302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0110a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0110a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0110ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0110af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0110b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0110c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0110c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0110c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0110cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0110d006) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01110302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01110742) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01110b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01110f32) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01111302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01112302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0111271a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01112b12) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01112f12) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01113002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01114002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01114402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0111480a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01114c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01115012) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01118102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01118702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01118b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01118d06) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01119102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0111a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0111a502) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0111ab42) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0111af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0111b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0111c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0111c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0111c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0111cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0111d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01120302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01120442) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01120802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01120f12) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01121202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01122002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0112261a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01122812) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01122c12) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01123002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01124002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01124402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0112480a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01124c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01125012) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01128202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01128402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01128802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01128e02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01129202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0112a002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0112a602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0112a802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0112ac02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0112b022) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0112c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0112c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0112c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0112cc82) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0112d042) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01130302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01130702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01130b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01130f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01131302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01132302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01132702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01132b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01132f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01133002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01134002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01134402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01134802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01134c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01135002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01138302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01138702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01138b8a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01138f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01139302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0113a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0113a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0113ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0113af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0113b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0113c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0113c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0113c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0113cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0113d006) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01140202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01140402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01140802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01140e02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01141202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01142002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01142602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01142802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01142c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01143002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01144002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01144402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01144802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01144c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01145002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01148302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01148702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01148b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01148f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01149302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0114a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0114a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0114ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0114af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0114b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0114c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0114c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0114c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0114cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0114d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01150302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01150702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01150b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01150f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01151302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01152302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01152702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01152b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01152f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01153002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01154002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01154402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01154802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01154c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01155002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01158302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01158702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01158b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01158f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01159302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0115a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0115a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0115ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0115af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0115b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0115c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0115c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0115c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0115cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0115d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01160182) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0116070a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01160b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01160d02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01161102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01162302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01162502) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01162b86) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01162f86) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01163006) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01164002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01164402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01164806) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01164c06) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01165002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01168202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01168402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01168802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01168e02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01169202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0116a002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0116a602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0116a802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0116ac02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0116b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0116c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0116c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0116c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0116cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0116d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01170302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01170742) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01170b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01170f32) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01171302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01172302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0117271a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01172b12) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01172f52) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01173002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01174002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01174402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0117480a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01174c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01175012) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01178302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01178702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01178b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01178f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01179302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0117a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0117a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0117ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0117af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0117b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0117c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0117c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0117c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0117cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0117d082) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01180302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01180702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01180b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01180f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01181302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01182302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01182702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01182b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01182f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01183002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01184002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01184402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01184802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01184c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01185002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01188102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01188602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01188a02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01188f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01189302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0118a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0118a502) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0118a902) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0118af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0118b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0118c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0118c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0118c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0118cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0118d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01190002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01190402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01190802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01190c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01191002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01192002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01192402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01192802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01192c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01193002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01194002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01194402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01194802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01194c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01195002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01198002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01198402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01198802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01198c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01199002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0119a002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0119a402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0119a802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0119ac02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0119b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0119c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0119c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0119c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0119cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0119d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011a0002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011a0402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011a0802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011a0e02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011a1102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011a2002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011a2402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011a2802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011a2c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011a3002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011a4002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011a4402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011a4802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011a4c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011a5002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011a8082) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011a8402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011a8802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011a8f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011a9102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011aa102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011aa602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011aa882) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011aac82) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011ab002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011ac002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011ac402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011ac802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011acc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011ad002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011b0202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011b0442) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011b0802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011b0f32) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011b1306) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011b2102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011b271a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011b2912) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011b2c52) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011b3002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011b4002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011b4402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011b480a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011b4c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011b5012) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011b8202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011b8702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011b8b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011b8d02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011b9302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011ba302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011ba602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011bab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011baf02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011bb002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011bc002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011bc402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011bc802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011bcc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011bd002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011c0302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011c0602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011c0b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011c0f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011c1102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011c2302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011c2702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011c2a02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011c2e02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011c3002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011c4002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011c4402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011c4802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011c4c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011c5002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011c8102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011c8602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011c8b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011c8f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011c9102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011ca302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011ca702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011caa02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011caf02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011cb002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011cc002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011cc402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011cc802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011ccc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011cd002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011d0302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011d0602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011d0b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011d0f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011d1102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011d2302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011d2702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011d2a02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011d2e02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011d3002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011d4002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011d4402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011d4802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011d4c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011d5002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011d8302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011d8602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011d8b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011d8f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011d9102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011da302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011da602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011dab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011daf02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011db002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011dc002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011dc402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011dc802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011dcc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011dd002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011e0202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011e0702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011e0b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011e0f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011e1102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011e2302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011e2602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011e2b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011e2f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011e3002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011e4002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011e4402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011e4802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011e4c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011e5002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011e8302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011e8602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011e8b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011e8f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011e9302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011ea302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011ea602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011eab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011eaf02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011eb002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011ec002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011ec402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011ec802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011ecc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011ed002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011f0302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011f0702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011f0b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011f0f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011f1102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011f2302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011f2602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011f2a02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011f2f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011f3002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011f4002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011f4402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011f4802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011f4c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011f5002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011f8302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011f8702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011f8b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011f8f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011f9102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011fa302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011fa702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011fab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011faf02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011fb002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011fc002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011fc402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011fc802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011fcc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (011fd002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01200302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (012006a2) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01200b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01200f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01201302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01202302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01202786) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01202b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01202f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01203002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01204002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01204406) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01204802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01204c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01205002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01208302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01208702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01208b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01208d02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01209302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0120a102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0120a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0120ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0120af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0120b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0120c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0120c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0120c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0120cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0120d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01210302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01210702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01210b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01210f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01211302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01212302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01212702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01212b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01212f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01213002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01214002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01214402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01214802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01214c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01215002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01218202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01218602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01218b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01218f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01219302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0121a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0121a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0121ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0121af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0121b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0121c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0121c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0121c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0121cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0121d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01220302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01220702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01220b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01220f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01221302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01222302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01222602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01222b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01222f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01223002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01224002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01224402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01224802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01224c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01225002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01228302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01228702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01228b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01228f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01229302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0122a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0122a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0122ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0122af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0122b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0122c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0122c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0122c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0122cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0122d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01230302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01230702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01230b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01230f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01231302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01232302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01232702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01232b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01232f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01233002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01234002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01234402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01234802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01234c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01235002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01238302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01238702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01238b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01238f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01239302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0123a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0123a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0123ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0123af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0123b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0123c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0123c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0123c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0123cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0123d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014003da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014005da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014009fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01400dee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014011de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014023da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014025da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014029fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01402dee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014031de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014043da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014045da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014049fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01404dee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014051de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014063da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014065da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014069fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01406dee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014071fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014083da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014085da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014089fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01408dee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014091de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0140a3da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0140a5da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0140a9fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0140adee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0140b1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0140c3da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0140c5da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0140c9fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0140cdee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0140d1de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0140e3da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0140e5da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0140e9fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0140edee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0140f1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014103da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014105da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014109fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01410dee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014111fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014123da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014125da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014129fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01412dee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014131fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014143da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014145da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014149fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01414dee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014151fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014163da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014165da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014169fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01416dee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014171de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014183da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014185da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014189fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01418dee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014191de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0141a3da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0141a5da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0141a9fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0141adee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0141b1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0141c3da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0141c5da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0141c9fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0141cdee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0141d1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0141e3da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0141e5da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0141e9fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0141edee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0141f1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014203da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014205da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014209fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01420dee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014211fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014223da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014225da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014229fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01422dee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014231de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014403de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014405da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014409fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01440dee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014411de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014423da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014425da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014429fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01442dee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014431de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014443fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014445da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014449fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01444dee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014451de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014463fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014465da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014469fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01446dee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014471de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014483fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014485da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014489fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01448dee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014491de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0144a3da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0144a5da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0144a9fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0144adfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0144b1de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0144c3da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0144c5da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0144c9fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0144cdfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0144d1de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0144e3da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0144e5da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0144e9fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0144edee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0144f1de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014503de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014505da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014509fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01450dee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014511de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014523da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014525da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014529fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01452dee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014531de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014543de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014545da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014549fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01454dee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014551de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014563da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014565da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014569fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01456dee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014571de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014583da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014585da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014589fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01458dee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014591de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0145a3de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0145a5da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0145a9fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0145adee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0145b1de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0145c3de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0145c5da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0145c9fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0145cdee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0145d1de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0145e3da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0145e5da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0145e9fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0145edee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0145f1de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014603da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014605da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014609fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01460dee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014611de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014623da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014625de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014629fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01462dee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014631de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014803da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014805da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014809fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01480dee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014811de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014823da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014825da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014829fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01482dee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014831de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014843da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014845da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014849fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01484dee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014851de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014863da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014865da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014869fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01486dee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014871de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014883da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014885da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014889fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01488dee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014891de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0148a3da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0148a5da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0148a9fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0148adee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0148b1de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0148c3da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0148c5da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0148c9fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0148cdee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0148d1de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0148e3da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0148e5da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0148e9fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0148edee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0148f1de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014903da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014905da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014909fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01490dee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014911de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014923da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014925da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014929fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01492dee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014931de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014943da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014945da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014949fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01494dee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014951de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014963da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014965da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014969fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01496dee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014971de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014983da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014985da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014989fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01498dee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014991de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0149a3da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0149a5da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0149a9fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0149adee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0149b1de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0149c3da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0149c5da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0149c9fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0149cdee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0149d1de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0149e3da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0149e5da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0149e9fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0149edee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0149f1de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014a03da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014a05da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014a09fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014a0dee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014a11de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014a23da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014a25da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014a29fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014a2dee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014a31de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014c03da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014c05da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014c09fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014c0dee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014c11de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014c23da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014c25da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014c29fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014c2dee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014c31de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014c43da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014c45da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014c49fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014c4dee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014c51de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014c63da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014c65da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014c69fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014c6dee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014c71de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014c83da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014c85da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014c89fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014c8dee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014c91de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014ca3da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014ca5da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014ca9fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014cadee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014cb1de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014cc3da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014cc5da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014cc9fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014ccdee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014cd1de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014ce3da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014ce5da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014ce9fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014cedee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014cf1de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014d03da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014d05da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014d09fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014d0dee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014d11de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014d23da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014d25da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014d29fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014d2dee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014d31de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014d43da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014d45da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014d49fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014d4dee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014d51de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014d63da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014d65da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014d69fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014d6dee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014d71de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014d83da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014d85da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014d89fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014d8dee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014d91de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014da3da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014da5da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014da9fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014dadee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014db1de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014dc3da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014dc5da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014dc9fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014dcdee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014dd1de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014de3da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014de5da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014de9fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014dedee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014df1de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014e03da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014e05da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014e09fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014e0dee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014e11de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014e23da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014e25da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014e29fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014e2dee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (014e31de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015003da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015005da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015009fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01500dee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015011de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015023da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015025da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015029fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01502dee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015031de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015043da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015045da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015049fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01504dee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015051de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015063da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015065da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015069fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01506dee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015071de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015083da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015085da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015089fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01508dee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015091de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0150a3da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0150a5da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0150a9fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0150adee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0150b1de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0150c3da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0150c5da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0150c9fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0150cdee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0150d1de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0150e3da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0150e5da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0150e9fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0150edee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0150f1de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015103da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015105da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015109fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01510dee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015111de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015123da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015125da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015129fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01512dee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015131de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015143da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015145da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015149fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01514dee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015151de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015163da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015165fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015169fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01516dee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015171de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015183da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015185da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015189fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01518dee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015191de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0151a3da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0151a5da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0151a9fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0151adee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0151b1de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0151c3da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0151c5da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0151c9fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0151cdee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0151d1de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0151e3da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0151e5da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0151e9fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0151edee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0151f1de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015203da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015205da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015209fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01520dee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015211de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015223da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015225da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015229fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01522dee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015231de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015403da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015405da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015409fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01540dee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015411de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015423da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015425da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015429fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01542dee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015431de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015443da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015445da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015449fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01544dee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015451de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015463da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015465da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015469fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01546dee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015471de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015483da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015485da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015489fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01548dee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015491de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0154a3da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0154a5da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0154a9fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0154adee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0154b1de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0154c3da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0154c5da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0154c9fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0154cdee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0154d1de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0154e3da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0154e5da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0154e9fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0154edee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0154f1de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015503da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015505da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015509fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01550dee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015511de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015523da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015525da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015529fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01552dee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015531de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015543da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015545da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015549fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01554dee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015551de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015563da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015565da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015569fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01556dee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015571de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015583da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015585da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015589fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01558dee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015591de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0155a3da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0155a5da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0155a9fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0155adee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0155b1de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0155c3da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0155c5da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0155c9fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0155cdee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0155d1de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0155e3da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0155e5da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0155e9fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0155edee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0155f1de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015603da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015605da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015609fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01560dee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015611de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015623da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015625da) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015629fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01562dee) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (015631de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01800302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01800702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01800b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01800f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01801302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01802302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01802702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01802b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01802f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01803002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01804002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01804402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01804802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01804c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01805002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01808302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01808702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01808b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01808f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01809302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0180a306) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0180a706) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0180ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0180af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0180b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0180c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0180c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0180c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0180cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0180d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01810302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01810702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01810b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01810f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01811302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01812306) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01812706) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01812b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01812f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01813002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01814002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01814402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01814802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01814c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01815002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01818302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01818702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01818b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01818f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01819302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0181a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0181a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0181ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0181af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0181b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0181c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0181c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0181c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0181cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0181d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01820302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01820702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01820b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01820f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01821302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01822302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01822702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01822b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01822f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01823002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01824002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01824402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01824802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01824c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01825002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01828302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01828702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01828b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01828f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01829302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0182a306) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0182a706) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0182ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0182af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0182b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0182c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0182c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0182c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0182cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0182d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01830302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01830702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01830b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01830f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01831302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01832306) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01832702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01832b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01832f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01833002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01834002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01834402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01834802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01834c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01835002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01838302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01838702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01838b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01838f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01839302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0183a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0183a706) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0183ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0183af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0183b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0183c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0183c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0183c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0183cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0183d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01840302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01840702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01840b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01840f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01841302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01842302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01842702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01842b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01842f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01843002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01844002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01844402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01844802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01844c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01845002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01848302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01848702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01848b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01848f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01849302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0184a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0184a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0184ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0184af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0184b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0184c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0184c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0184c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0184cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0184d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01850302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01850702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01850b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01850f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01851302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01852302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01852702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01852b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01852f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01853002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01854002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01854402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01854802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01854c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01855002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01858302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01858702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01858b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01858f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01859302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0185a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0185a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0185ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0185af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0185b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0185c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0185c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0185c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0185cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0185d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01860302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01860602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01860b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01860f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01861302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01862302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01862702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01862b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01862f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01863002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01864002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01864402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01864802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01864c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01865002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01868302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01868702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01868b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01868f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01869302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0186a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0186a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0186ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0186af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0186b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0186c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0186c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0186c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0186cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0186d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01870302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01870602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01870b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01870f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01871302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01872302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01872702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01872b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01872f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01873002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01874002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01874402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01874802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01874c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01875002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01878302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01878702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01878b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01878f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01879302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0187a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0187a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0187ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0187af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0187b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0187c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0187c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0187c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0187cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0187d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01880302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01880602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01880b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01880f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01881302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01882302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01882702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01882b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01882f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01883002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01884002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01884402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01884802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01884c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01885002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01888302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01888602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01888b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01888f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01889302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0188a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0188a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0188ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0188af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0188b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0188c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0188c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0188c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0188cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0188d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01890302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01890702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01890b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01890f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01891302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01892302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01892702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01892b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01892f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01893002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01894002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01894402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01894802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01894c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01895002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01898302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01898702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01898b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01898f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01899302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0189a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0189a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0189ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0189af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0189b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0189c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0189c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0189c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0189cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0189d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018a0302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018a0702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018a0b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018a0f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018a1302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018a2302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018a2702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018a2b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018a2f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018a3002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018a4002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018a4402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018a4802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018a4c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018a5002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018a8302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018a8702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018a8b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018a8f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018a9302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018aa302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018aa702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018aab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018aaf02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018ab002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018ac002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018ac402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018ac802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018acc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018ad002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018b0302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018b0702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018b0b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018b0f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018b1302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018b2302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018b2702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018b2b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018b2f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018b3002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018b4002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018b4402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018b4802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018b4c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018b5002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018b8302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018b8702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018b8b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018b8f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018b9302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018ba302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018ba702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018bab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018baf02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018bb002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018bc002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018bc402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018bc802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018bcc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018bd002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018c0302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018c0702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018c0b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018c0f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018c1302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018c2302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018c2702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018c2b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018c2f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018c3002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018c4002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018c4402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018c4802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018c4c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018c5002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018c8302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018c8702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018c8b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018c8f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018c9302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018ca302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018ca702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018cab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018caf02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018cb002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018cc002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018cc402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018cc802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018ccc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018cd002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018d0302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018d0602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018d0b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018d0f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018d1302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018d2302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018d2502) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018d2a02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018d2f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018d3002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018d4002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018d4402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018d4802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018d4c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018d5002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018d8302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018d8702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018d8b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018d8f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018d9302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018da302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018da502) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018daa02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018daf02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018db002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018dc002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018dc402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018dc802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018dcc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018dd002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018e0302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018e0602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018e0b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018e0f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018e1302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018e2302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018e2702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018e2b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018e2f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018e3002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018e4002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018e4402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018e4802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018e4c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018e5002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018e8302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018e8702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018e8b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018e8f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018e9302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018ea302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018ea702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018eab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018eaf02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018eb002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018ec002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018ec402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018ec802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018ecc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018ed002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018f0302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018f0702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018f0b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018f0f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018f1302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018f2302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018f2702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018f2b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018f2f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018f3002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018f4002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018f4402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018f4802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018f4c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018f5002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018f8302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018f8702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018f8b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018f8f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018f9302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018fa302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018fa702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018fab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018faf02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018fb002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018fc002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018fc402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018fc802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018fcc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (018fd002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01900302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01900702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01900b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01900f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01901302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01902302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01902702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01902b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01902f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01903002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01904002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01904402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01904802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01904c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01905002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01908302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01908702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01908b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01908f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01909302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0190a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0190a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0190ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0190af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0190b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0190c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0190c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0190c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0190cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0190d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01910302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01910702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01910b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01910f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01911302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01912302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01912702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01912b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01912f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01913002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01914002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01914402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01914802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01914c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01915002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01918002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01918502) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01918802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01918c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01919002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0191a002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0191a402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0191a802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0191ac02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0191b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0191c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0191c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0191c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0191cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0191d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01920302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01920702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01920b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01920f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01921302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01922302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01922706) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01922b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01922f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01923002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01924002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01924402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01924802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01924c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01925002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01928302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01928602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01928b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01928f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01929302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0192a306) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0192a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0192ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0192af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0192b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0192c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0192c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0192c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0192cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0192d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01930302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01930702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01930b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01930f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01931302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01932302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01932702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01932b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01932f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01933002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01934002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01934402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01934802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01934c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01935002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01938302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01938702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01938b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01938f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01939302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0193a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0193a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0193ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0193af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0193b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0193c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0193c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0193c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0193cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0193d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01940302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01940602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01940b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01940f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01941302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01942302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01942702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01942b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01942f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01943002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01944002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01944402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01944802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01944c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01945002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01948302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01948702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01948b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01948f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01949302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0194a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0194a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0194ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0194af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0194b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0194c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0194c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0194c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0194cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0194d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01950302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01950702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01950b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01950f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01951302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01952302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01952702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01952b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01952f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01953002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01954002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01954402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01954802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01954c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01955002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01958302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01958702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01958b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01958f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01959302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0195a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0195a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0195ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0195af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0195b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0195c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0195c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0195c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0195cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0195d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01960002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01960402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01960802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01960c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01961002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01962002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01962402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01962802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01962c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01963002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01964002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01964402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01964802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01964c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01965002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01968302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01968702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01968b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01968f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01969302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0196a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0196a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0196ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0196af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0196b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0196c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0196c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0196c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0196cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0196d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01970302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01970702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01970b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01970f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01971302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01972302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01972702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01972b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01972f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01973002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01974002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01974402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01974802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01974c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01975002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01978302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01978702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01978b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01978f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01979302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0197a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0197a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0197ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0197af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0197b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0197c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0197c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0197c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0197cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0197d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01980302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01980702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01980b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01980f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01981302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01982302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01982702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01982b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01982f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01983002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01984002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01984402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01984802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01984c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01985002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01988302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01988602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01988b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01988f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01989302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0198a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0198a502) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0198aa02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0198af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0198b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0198c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0198c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0198c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0198cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0198d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01990302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01990602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01990b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01990f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01991302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01992302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01992702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01992b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01992f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01993002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01994002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01994402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01994802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01994c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01995002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01998302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01998602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01998b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01998f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01999302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0199a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0199a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0199ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0199af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0199b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0199c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0199c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0199c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0199cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0199d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019a0302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019a0602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019a0b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019a0f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019a1302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019a2302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019a2702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019a2b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019a2f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019a3002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019a4002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019a4402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019a4802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019a4c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019a5002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019a8302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019a8702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019a8b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019a8f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019a9302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019aa302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019aa702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019aab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019aaf02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019ab002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019ac002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019ac402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019ac802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019acc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019ad002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019b0302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019b0702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019b0b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019b0f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019b1302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019b2302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019b2702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019b2b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019b2f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019b3002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019b4002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019b4402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019b4802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019b4c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019b5002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019b8202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019b8702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019b8b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019b8d02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019b9302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019ba302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019ba602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019bab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019baf02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019bb002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019bc002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019bc402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019bc802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019bcc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019bd002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019c0302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019c0602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019c0b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019c0f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019c1302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019c2302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019c2702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019c2b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019c2f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019c3002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019c4002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019c4402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019c4802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019c4c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019c5002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019c8302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019c8702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019c8b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019c8f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019c9302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019ca302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019ca702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019cab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019caf02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019cb002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019cc002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019cc402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019cc802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019ccc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019cd002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019d0302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019d0702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019d0b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019d0f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019d1302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019d2302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019d2702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019d2b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019d2f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019d3002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019d4002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019d4402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019d4802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019d4c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019d5002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019d8302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019d8702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019d8b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019d8f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019d9302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019da302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019da702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019dab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019daf02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019db002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019dc002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019dc402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019dc802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019dcc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019dd002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019e0302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019e0702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019e0b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019e0f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019e1302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019e2302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019e2702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019e2b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019e2f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019e3002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019e4002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019e4402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019e4802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019e4c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019e5002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019e8302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019e8602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019e8a02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019e8f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019e9302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019ea302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019ea702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019eab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019eaf02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019eb002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019ec002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019ec402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019ec802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019ecc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019ed002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019f0302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019f0702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019f0b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019f0f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019f1302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019f2302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019f2702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019f2b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019f2f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019f3002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019f4002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019f4402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019f4802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019f4c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019f5002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019f8302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019f8702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019f8b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019f8f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019f9302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019fa302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019fa702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019fab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019faf02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019fb002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019fc002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019fc402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019fc802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019fcc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (019fd002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a00302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a00702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a00b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a00f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a01302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a02302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a02702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a02b06) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a02f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a03002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a04002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a04402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a04802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a04c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a05002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a08302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a08702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a08b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a08d02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a09302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a0a102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a0a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a0ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a0af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a0b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a0c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a0c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a0c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a0cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a0d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a10302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a10702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a10b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a10f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a11302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a12302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a12702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a12b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a12f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a13002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a14002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a14402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a14802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a14c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a15002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a18202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a18702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a18b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a18f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a19302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a1a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a1a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a1ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a1af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a1b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a1c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a1c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a1c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a1cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a1d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a20302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a20702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a20b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a20f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a21302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a22302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a22602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a22b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a22f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a23002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a24002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a24402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a24802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a24c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a25002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a28302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a28702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a28b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a28f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a29302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a2a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a2a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a2ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a2af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a2b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a2c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a2c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a2c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a2cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a2d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a30302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a30702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a30b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a30f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a31302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a32302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a32702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a32b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a32f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a33002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a34002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a34402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a34802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a34c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a35002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a38302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a38702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a38b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a38f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a39302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a3a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a3a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a3ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a3af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a3b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a3c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a3c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a3c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a3cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01a3d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c003fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c005fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c009fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c00dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c011fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c023fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c025fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c029fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c02dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c031fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c043fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c045fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c049fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c04dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c051fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c063fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c065fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c069fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c06dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c071fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c083fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c085fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c089fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c08dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c091fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c0a3fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c0a5fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c0a9fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c0adfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c0b1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c0c3fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c0c5fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c0c9fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c0cdfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c0d1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c0e3fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c0e5fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c0e9fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c0edfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c0f1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c103fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c105fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c109fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c10dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c111fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c123fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c125fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c129fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c12dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c131fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c143fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c145fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c149fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c14dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c151fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c163fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c165fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c169fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c16dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c171fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c183fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c185fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c189fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c18dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c191fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c1a3fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c1a5fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c1a9fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c1adfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c1b1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c1c3fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c1c5fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c1c9fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c1cdfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c1d1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c1e3fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c1e5fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c1e9fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c1edfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c1f1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c203fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c205fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c209fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c20dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c211fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c223fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c225fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c229fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c22dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c231fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c403fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c405fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c409fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c40dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c411fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c423fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c425fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c429fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c42dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c431fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c443fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c445fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c449fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c44dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c451fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c463fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c465fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c469fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c46dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c471fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c483fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c485fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c489fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c48dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c491fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c4a3fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c4a5fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c4a9fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c4adfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c4b1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c4c3fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c4c5fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c4c9fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c4cdfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c4d1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c4e3fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c4e5fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c4e9fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c4edfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c4f1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c503fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c505fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c509fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c50dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c511fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c523fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c525fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c529fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c52dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c531fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c543fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c545fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c549fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c54dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c551fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c563fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c565fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c569fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c56dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c571fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c583fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c585fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c589fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c58dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c591fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c5a3fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c5a5fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c5a9fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c5adfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c5b1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c5c3fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c5c5fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c5c9fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c5cdfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c5d1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c5e3fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c5e5fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c5e9fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c5edfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c5f1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c603fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c605fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c609fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c60dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c611fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c623fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c625fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c629fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c62dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c631fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c803fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c805fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c809fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c80dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c811fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c823fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c825fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c829fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c82dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c831fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c843fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c845fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c849fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c84dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c851fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c863fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c865fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c869fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c86dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c871fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c883fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c885fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c889fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c88dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c891fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c8a3fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c8a5fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c8a9fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c8adfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c8b1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c8c3fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c8c5fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c8c9fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c8cdfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c8d1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c8e3fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c8e5fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c8e9fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c8edfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c8f1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c903fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c905fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c909fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c90dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c911fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c923fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c925fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c929fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c92dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c931fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c943fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c945fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c949fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c94dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c951fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c963fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c965fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c969fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c96dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c971fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c983fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c985fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c989fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c98dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c991fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c9a3fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c9a5fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c9a9fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c9adfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c9b1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c9c3fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c9c5fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c9c9fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c9cdfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c9d1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c9e3fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c9e5fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c9e9fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c9edfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01c9f1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01ca03fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01ca05fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01ca09fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01ca0dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01ca11fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01ca23fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01ca25fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01ca29fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01ca2dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01ca31fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01cc03fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01cc05fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01cc09fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01cc0dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01cc11fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01cc23fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01cc25fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01cc29fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01cc2dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01cc31fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01cc43fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01cc45fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01cc49fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01cc4dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01cc51fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01cc63fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01cc65fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01cc69fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01cc6dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01cc71fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01cc83fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01cc85fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01cc89fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01cc8dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01cc91fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01cca3fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01cca5fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01cca9fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01ccadfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01ccb1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01ccc3fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01ccc5fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01ccc9fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01cccdfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01ccd1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01cce3fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01cce5fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01cce9fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01ccedfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01ccf1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01cd03fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01cd05fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01cd09fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01cd0dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01cd11fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01cd23fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01cd25fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01cd29fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01cd2dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01cd31fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01cd43fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01cd45fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01cd49fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01cd4dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01cd51fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01cd63fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01cd65fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01cd69fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01cd6dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01cd71fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01cd83fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01cd85fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01cd89fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01cd8dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01cd91fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01cda3fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01cda5fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01cda9fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01cdadfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01cdb1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01cdc3fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01cdc5fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01cdc9fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01cdcdfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01cdd1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01cde3fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01cde5fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01cde9fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01cdedfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01cdf1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01ce03fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01ce05fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01ce09fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01ce0dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01ce11fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01ce23fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01ce25fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01ce29fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01ce2dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01ce31fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d003fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d005fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d009fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d00dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d011fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d023fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d025fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d029fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d02dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d031fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d043fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d045fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d049fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d04dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d051fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d063fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d065fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d069fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d06dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d071fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d083fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d085fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d089fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d08dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d091fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d0a3fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d0a5fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d0a9fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d0adfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d0b1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d0c3fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d0c5fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d0c9fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d0cdfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d0d1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d0e3fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d0e5fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d0e9fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d0edfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d0f1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d103fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d105fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d109fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d10dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d111fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d123fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d125fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d129fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d12dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d131fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d143fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d145fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d149fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d14dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d151fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d163fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d165fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d169fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d16dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d171fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d183fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d185fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d189fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d18dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d191fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d1a3fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d1a5fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d1a9fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d1adfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d1b1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d1c3fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d1c5fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d1c9fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d1cdfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d1d1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d1e3fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d1e5fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d1e9fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d1edfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d1f1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d203fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d205fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d209fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d20dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d211fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d223fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d225fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d229fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d22dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d231fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d403fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d405fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d409fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d40dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d411fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d423fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d425fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d429fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d42dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d431fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d443fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d445fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d449fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d44dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d451fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d463fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d465fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d469fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d46dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d471fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d483fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d485fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d489fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d48dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d491fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d4a3fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d4a5fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d4a9fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d4adfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d4b1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d4c3fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d4c5fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d4c9fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d4cdfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d4d1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d4e3fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d4e5fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d4e9fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d4edfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d4f1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d503fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d505fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d509fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d50dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d511fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d523fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d525fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d529fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d52dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d531fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d543fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d545fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d549fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d54dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d551fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d563fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d565fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d569fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d56dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d571fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d583fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d585fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d589fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d58dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d591fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d5a3fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d5a5fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d5a9fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d5adfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d5b1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d5c3fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d5c5fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d5c9fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d5cdfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d5d1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d5e3fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d5e5fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d5e9fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d5edfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d5f1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d603fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d605fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d609fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d60dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d611fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d623fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d625fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d629fa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d62dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (01d631fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02000302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02000702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02000b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02000f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02001302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02002302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02002702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02002b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02002f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02003002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02004002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02004402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02004802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02004c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02005002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0200830a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02008706) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02008b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02008f32) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02009302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0200a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0200a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0200ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0200af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0200b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0200c042) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0200c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0200c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0200cc22) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0200d01a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02010302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02010702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02010b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02010f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02011302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02012302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02012702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02012b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02012f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02013002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02014002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02014402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02014802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02014c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02015002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0201830a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02018702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02018b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02018f32) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02019302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0201a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0201a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0201ab06) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0201af06) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0201b006) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0201c042) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0201c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0201c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0201cc22) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0201d01a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02020302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02020702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02020b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02020f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02021302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02022302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02022702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02022b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02022f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02023002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02024002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02024402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02024802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02024c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02025002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02028302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02028702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02028b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02028f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02029302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0202a342) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0202a742) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0202ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0202af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0202b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0202c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0202c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0202c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0202cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0202d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02030302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02030702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02030b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02030f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02031302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02032302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02032702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02032b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02032f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02033002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02034002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02034402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02034802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02034c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02035002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0203830a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02038706) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02038b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02038f32) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02039302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0203a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0203a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0203ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0203af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0203b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0203c042) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0203c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0203c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0203cc22) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0203d01a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02040302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02040702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02040b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02040f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02041302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02042302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02042702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02042b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02042f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02043002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02044002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02044402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02044802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02044c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02045002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02048302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02048702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02048b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02048f32) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02049302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0204a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0204a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0204ab0a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0204af0a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0204b00a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0204c042) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0204c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0204c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0204cc22) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0204d012) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02050302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02050702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02050b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02050f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02051302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02052302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02052702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02052b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02052f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02053002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02054002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02054402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02054802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02054c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02055002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02058302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02058702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02058b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02058f32) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02059302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0205a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0205a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0205ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0205af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0205b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0205c042) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0205c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0205c806) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0205cc26) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0205d01e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02060002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02060402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02060802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02060f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02061002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02062202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02062402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02062a02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02062e02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02063002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02064002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02064402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02064802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02064c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02065002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02068382) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02068402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02068802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02068e02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02069202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0206a03e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0206a502) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0206aa02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0206ae02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0206b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0206c03e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0206c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0206c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0206cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0206d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02070302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02070402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02070802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02070e02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02071002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02072202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02072502) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02072a02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02072e02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02073002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02074002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02074402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02074802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02074c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02075002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02078006) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02078402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02078a02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02078e02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02079202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0207a242) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0207a442) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0207aa02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0207ae02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0207b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0207c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0207c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0207c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0207cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0207d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02080002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02080402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02080802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02080f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02081002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02082202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02082402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02082a02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02082e02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02083002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02084002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02084402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02084802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02084c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02085002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02088002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02088722) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02088902) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02088f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02089102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0208a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0208a602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0208ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0208af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0208b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0208c042) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0208c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0208c812) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0208cc32) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0208d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02090302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02090402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02090a02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02090f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02091202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02092202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02092502) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02092a02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02092e02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02093002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02094002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02094402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02094802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02094c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02095002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02098102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02098712) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02098902) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02098f22) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02099302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0209a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0209a602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0209ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0209af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0209b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0209c042) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0209c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0209c80a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0209cc2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0209d012) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020a0302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020a0402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020a0a02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020a0f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020a1202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020a2302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020a2502) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020a2a02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020a2f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020a3002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020a4002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020a4402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020a4802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020a4c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020a5002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020a8302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020a8702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020a8b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020a8f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020a9302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020aa202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020aa702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020aab22) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020aae22) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020ab022) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020ac042) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020ac402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020ac802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020acc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020ad002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020b0302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020b0732) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020b0b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020b0f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020b1382) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020b2302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020b2702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020b2b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020b2f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020b303e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020b4002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020b4402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020b4802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020b4c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020b5006) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020b830a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020b8606) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020b8b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020b8f32) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020b9302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020ba302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020ba502) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020bab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020baf02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020bb002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020bc042) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020bc402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020bc802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020bcc22) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020bd01a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020c0302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020c0502) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020c0a02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020c0f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020c1202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020c2202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020c2702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020c2a02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020c2e02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020c3002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020c4002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020c4402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020c4802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020c4c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020c5002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020c8102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020c8702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020c8902) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020c8e22) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020c9302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020ca202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020ca602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020cab12) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020cae12) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020cb012) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020cc042) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020cc402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020cc802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020ccc22) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020cd002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020d0002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020d0402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020d0802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020d0e02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020d1002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020d2202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020d2402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020d2a02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020d2e02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020d3002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020d4002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020d4402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020d4802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020d4c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020d5002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020d830a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020d8406) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020d8a02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020d8e32) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020d9202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020da202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020da502) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020daa02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020dae02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020db002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020dc042) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020dc402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020dc802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020dcc22) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020dd01a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020e030a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020e0706) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020e0b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020e0f32) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020e1102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020e2302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020e2702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020e2b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020e2f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020e3002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020e4042) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020e4402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020e4802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020e4c22) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020e501a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020e8302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020e8702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020e8b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020e8f82) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020e9302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020ea302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020ea702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020eab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020eaf3e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020eb002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020ec002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020ec402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020ec802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020ecc1e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020ed002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020f0322) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020f0702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020f0b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020f0f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020f1302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020f2302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020f2702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020f2b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020f2f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020f3002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020f4002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020f4402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020f4802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020f4c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020f5002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020f8316) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020f8702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020f8b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020f8f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020f9302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020fa342) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020fa742) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020fab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020faf02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020fb002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020fc002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020fc402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020fc802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020fcc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (020fd002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02100302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02100702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02100b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02100f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02101302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02102302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02102702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02102b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02102f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02103002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02104002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02104402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02104802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02104c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02105002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0210830a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02108706) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02108b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02108f32) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02109302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0210a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0210a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0210ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0210af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0210b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0210c042) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0210c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0210c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0210cc22) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0210d01a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02110302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02110702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02110b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02110f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02111302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02112302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02112702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02112b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02112f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02113002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02114002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02114402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02114802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02114c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02115002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0211830a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02118706) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02118b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02118c32) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02119302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0211a102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0211a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0211a902) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0211ad02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0211b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0211c042) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0211c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0211c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0211cc22) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0211d01a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02120302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02120402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02120882) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02120f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02121202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02122202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02122542) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02122a3e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02122e02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02123002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02124002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02124402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0212481e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02124c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02125002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0212800a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02128406) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02128802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02128f32) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02129002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0212a242) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0212a402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0212aa02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0212ae02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0212b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0212c042) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0212c422) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0212c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0212cc22) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0212d01a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02130302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02130702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02130b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02130f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02131302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02132302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02132702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02132b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02132f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02133002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02134002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02134402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02134802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02134c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02135002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0213830a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02138706) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02138b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02138f32) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02139302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0213a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0213a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0213ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0213af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0213b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0213c042) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0213c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0213c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0213cc22) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0213d01a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02140202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02140402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02140a02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02140f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02141002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02142202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02142402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02142a02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02142e02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02143002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02144002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02144402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02144802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02144c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02145002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0214830a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02148706) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02148b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02148f32) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02149302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0214a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0214a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0214ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0214af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0214b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0214c042) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0214c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0214c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0214cc22) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0214d01a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02150302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02150702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02150b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02150f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02151302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02152342) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02152702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02152b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02152f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02153002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02154002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02154402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02154802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02154c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02155002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02158302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02158702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02158b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02158f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02159302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0215a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0215a742) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0215ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0215af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0215b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0215c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0215c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0215c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0215cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0215d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02160302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02160402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02160a02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02160c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02161002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02162002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02162502) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02162802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02162c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02163002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02164002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02164402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02164802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02164c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02165002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0216800a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02168706) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02168902) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02168f32) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02169302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0216a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0216a602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0216ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0216af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0216b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0216c042) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0216c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0216c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0216cc22) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0216d01a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02170302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02170702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02170b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02170f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02171302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02172302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02172702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02172b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02172f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02173002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02174002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02174402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02174802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02174c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02175002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0217830a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02178706) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02178b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02178f32) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02179302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0217a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0217a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0217ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0217af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0217b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0217c042) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0217c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0217c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0217cc22) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0217d01a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02180302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02180702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02180b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02180f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02181302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02182302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02182702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02182b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02182f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02183002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02184002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02184402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02184802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02184c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02185002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02188302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02188402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02188a82) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02188e82) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02189082) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0218a202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0218a502) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0218aa02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0218ae02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0218b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0218c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0218c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0218c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0218cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0218d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02190002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02190402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02190802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02190e02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02191002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02192002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02192402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02192a02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02192e02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02193002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02194002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02194402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02194802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02194c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02195002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0219800a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02198406) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02198802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02198e32) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02199002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0219a202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0219a402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0219aa02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0219ae02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0219b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0219c042) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0219c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0219c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0219cc22) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0219d01a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021a0002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021a0402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021a0802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021a0f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021a1002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021a2202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021a2402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021a2a02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021a2e02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021a3002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021a4002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021a4402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021a4802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021a4c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021a5002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021a810a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021a8406) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021a8802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021a8f32) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021a9202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021aa202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021aa402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021aaa02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021aae02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021ab002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021ac042) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021ac402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021ac802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021acc22) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021ad01a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021b0302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021b0402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021b0a02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021b0f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021b1202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021b2202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021b2502) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021b2a02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021b2e02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021b3002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021b4002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021b4402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021b4802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021b4c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021b5002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021b820a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021b8706) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021b8b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021b8f32) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021b9102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021ba302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021ba602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021baa02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021baf02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021bb002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021bc042) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021bc402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021bc802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021bcc22) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021bd01a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021c0202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021c0702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021c0b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021c0f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021c1102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021c2302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021c2602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021c2a02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021c2f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021c3002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021c4002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021c4402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021c4802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021c4c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021c5002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021c820a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021c8606) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021c8a02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021c8f32) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021c9302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021ca102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021ca702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021caa02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021caf02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021cb002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021cc042) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021cc402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021cc802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021ccc22) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021cd01a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021d0302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021d0602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021d0b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021d0f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021d1302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021d2102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021d2602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021d2a02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021d2f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021d3002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021d4002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021d4402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021d4802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021d4c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021d5002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021d8302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021d8602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021d8b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021d8f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021d9302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021da302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021da402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021dab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021daf02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021db002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021dc002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021dc402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021dc802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021dcc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021dd002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021e0302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021e0602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021e0b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021e0d02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021e1302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021e2302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021e2602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021e2b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021e2f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021e3002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021e4002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021e4402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021e4802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021e4c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021e5002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021e8302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021e8602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021e8a02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021e8d02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021e9302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021ea302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021ea602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021eab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021eaf02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021eb002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021ec002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021ec402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021ec802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021ecc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021ed002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021f0302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021f0602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021f0b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021f0d02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021f1302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021f2302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021f2602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021f2b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021f2f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021f3002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021f4002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021f4402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021f4802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021f4c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021f5002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021f8302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021f8602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021f8b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021f8d02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021f9302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021fa302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021fa602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021fab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021faf02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021fb002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021fc002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021fc402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021fc802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021fcc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (021fd002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02200202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0220078a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02200b36) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02200f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02201302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02202302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0220263e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02202b42) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02202f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02203002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02204002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0220441e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02204802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02204c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02205002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02208302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02208702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02208b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02208d02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02209302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0220a102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0220a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0220ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0220af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0220b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0220c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0220c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0220c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0220cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0220d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02210302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02210702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02210b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02210f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02211102) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02212302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02212702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02212b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02212f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02213002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02214002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02214402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02214802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02214c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02215002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02218202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02218602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02218b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02218f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02219302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0221a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0221a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0221ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0221af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0221b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0221c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0221c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0221c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0221cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0221d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02220302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02220702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02220b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02220f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02221302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02222302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02222602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02222b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02222f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02223002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02224002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02224402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02224802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02224c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02225002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02228302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02228702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02228b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02228f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02229302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0222a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0222a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0222ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0222af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0222b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0222c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0222c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0222c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0222cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0222d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02230302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02230732) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02230b82) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02230f82) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02231382) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02232302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02232702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02232b3e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02232f3e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0223303e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02234002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02234402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0223483e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02234c1e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02235006) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02238302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02238702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02238b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02238f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02239302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0223a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0223a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0223ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0223af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0223b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0223c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0223c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0223c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0223cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0223d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0240033a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0240055e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0240091e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02400d2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024011de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0240233a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0240255e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0240291e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02402d2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024031de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0240433a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0240455e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0240491e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02404d2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024051de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0240633a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0240655e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0240693e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02406d2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024071de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0240833a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0240855e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0240891e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02408d2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024091de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0240a33a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0240a55e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0240a91e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0240ad2e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0240b1de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0240c33a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0240c55e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0240c91e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0240cd2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0240d1de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0240e33a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0240e55e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0240e91e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0240ed3a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0240f1de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0241033a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0241057e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0241091e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02410d2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024111de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0241233a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0241255e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0241295e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02412d2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024131de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0241433a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0241455e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0241491e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02414d2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024151fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0241633a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0241655e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0241691e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02416d2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024171de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0241833a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0241855e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0241891e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02418d2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024191de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0241a37a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0241a55e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0241a91e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0241ad2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0241b1de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0241c33a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0241c55e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0241c91e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0241cd6a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0241d1de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0241e3ba) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0241e55e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0241e91e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0241ed2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0241f1de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0242033a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024205de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0242091e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02420d2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024211de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0242233a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0242255e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0242291e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02422d2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024231de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0244033a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0244055e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0244091e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02440d2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024411de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0244233a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0244255e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0244291e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02442d2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024431de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0244433a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0244455e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0244491e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02444d2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024451de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0244633a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0244655e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0244691e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02446d2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024471de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0244833a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0244855e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0244891e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02448d2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024491de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0244a33a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0244a55e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0244a91e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0244ad2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0244b1de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0244c33a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0244c55e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0244c91e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0244cd2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0244d1de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0244e33a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0244e55e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0244e91e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0244ed2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0244f1de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0245033a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0245055e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0245091e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02450d2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024511de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0245233a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0245255e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0245291e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02452d2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024531de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0245433a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0245455e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0245491e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02454d2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024551de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0245633a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0245655e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0245691e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02456d2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024571de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0245833a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0245855e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0245891e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02458d2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024591de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0245a33a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0245a55e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0245a91e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0245ad2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0245b1de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0245c33a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0245c55e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0245c91e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0245cd2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0245d1de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0245e33a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0245e55e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0245e91e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0245ed2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0245f1de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0246033a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0246055e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0246091e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02460d2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024611de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0246233a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0246255e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0246291e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02462d2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024631de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0248033a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0248055e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0248091e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02480d2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024811de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0248233a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0248255e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0248299e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02482d2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024831de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0248433a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0248455e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0248491e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02484daa) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024851de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0248633a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0248655e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0248691e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02486d2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024871de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0248833a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0248855e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0248891e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02488d2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024891de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0248a33a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0248a55e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0248a91e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0248ad2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0248b1de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0248c33a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0248c55e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0248c91e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0248cd2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0248d1de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0248e33a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0248e55e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0248e91e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0248ed2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0248f1de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0249033a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0249055e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0249091e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02490d2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024911de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0249233a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0249255e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0249291e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02492d2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024931de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0249433a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0249455e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0249491e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02494d2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024951de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0249633a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0249655e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0249691e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02496d2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024971de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0249833a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0249855e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0249891e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02498d2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024991de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0249a33a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0249a55e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0249a91e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0249ad2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0249b1de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0249c33a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0249c55e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0249c91e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0249cd2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0249d1de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0249e33a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0249e55e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0249e91e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0249ed2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0249f1de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024a033e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024a055e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024a091e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024a0d2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024a11de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024a233a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024a255e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024a291e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024a2d2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024a31de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024c033a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024c055e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024c091e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024c0d2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024c11de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024c233a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024c255e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024c291e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024c2d2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024c31de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024c433a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024c455e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024c491e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024c4d2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024c51de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024c633a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024c655e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024c691e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024c6d2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024c71de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024c833a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024c855e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024c891e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024c8d2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024c91de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024ca33a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024ca55e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024ca91e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024cad2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024cb1de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024cc33a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024cc55e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024cc91e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024ccd2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024cd1de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024ce33a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024ce55e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024ce91e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024ced2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024cf1de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024d033a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024d055e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024d091e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024d0d2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024d11de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024d233a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024d255e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024d291e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024d2d2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024d31de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024d433a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024d455e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024d491e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024d4d2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024d51de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024d633a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024d655e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024d691e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024d6d2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024d71de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024d833a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024d855e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024d891e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024d8d2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024d91de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024da33a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024da55e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024da91e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024dad2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024db1de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024dc33a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024dc55e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024dc91e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024dcd2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024dd1de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024de33a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024de55e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024de91e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024ded2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024df1de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024e033a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024e055e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024e091e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024e0d2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024e11de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024e233a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024e255e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024e291e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024e2d2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (024e31de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0250033a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0250055e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0250091e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02500d2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (025011de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0250233a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0250255e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0250291e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02502d2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (025031de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0250433a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0250455e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0250491e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02504d2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (025051de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0250633a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0250655e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0250691e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02506d2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (025071de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0250833a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0250855e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0250891e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02508d2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (025091de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0250a33a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0250a55e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0250a91e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0250ad2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0250b1de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0250c33a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0250c55e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0250c91e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0250cd2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0250d1de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0250e33a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0250e55e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0250e91e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0250ed2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0250f1de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0251033a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0251055e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0251091e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02510d2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (025111de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0251233a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0251255e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0251291e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02512d2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (025131de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0251433a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0251455e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0251491e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02514d2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (025151de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0251633a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0251655e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0251691e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02516d2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (025171de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0251833a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0251855e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0251891e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02518d2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (025191de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0251a33a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0251a55e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0251a91e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0251ad2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0251b1de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0251c33a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0251c55e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0251c91e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0251cd2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0251d1de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0251e33a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0251e55e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0251e91e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0251ed2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0251f1de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0252033a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0252055e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0252091e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02520d2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (025211de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0252233a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0252255e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0252291e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02522d2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (025231de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0254033a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0254055e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0254091e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02540d2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (025411de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0254233a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0254255e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0254291e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02542d2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (025431de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0254433a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0254455e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0254491e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02544d2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (025451de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0254633a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0254655e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0254691e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02546d2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (025471de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0254833a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0254855e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0254891e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02548d2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (025491de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0254a33a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0254a55e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0254a91e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0254ad2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0254b1de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0254c33a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0254c55e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0254c91e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0254cd2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0254d1de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0254e33a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0254e55e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0254e91e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0254ed2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0254f1de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0255033a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0255055e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0255091e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02550d2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (025511de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0255233a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0255255e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0255291e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02552d2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (025531de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0255433a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0255455e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0255491e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02554d2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (025551de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0255633a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0255655e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0255691e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02556d2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (025571de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0255833a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0255855e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0255891e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02558d2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (025591de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0255a33a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0255a55e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0255a91e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0255ad2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0255b1de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0255c33a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0255c55e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0255c91e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0255cd2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0255d1de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0255e33a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0255e55e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0255e91e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0255ed2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0255f1de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0256033a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0256055e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0256091e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02560d2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (025611de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0256233a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0256255e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0256291e) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02562d2a) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (025631de) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02800302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02800702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02800b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02800f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02801302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02802302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02802702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02802b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02802f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02803002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02804002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02804402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02804802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02804c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02805002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02808302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02808702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02808b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02808f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02809302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0280a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0280a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0280ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0280af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0280b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0280c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0280c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0280c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0280cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0280d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02810302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02810702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02810b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02810f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02811302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02812302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02812702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02812b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02812f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02813002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02814002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02814402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02814802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02814c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02815002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02818302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02818702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02818b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02818f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02819302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0281a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0281a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0281ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0281af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0281b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0281c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0281c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0281c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0281cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0281d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02820302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02820702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02820b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02820f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02821302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02822302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02822702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02822b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02822f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02823002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02824002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02824402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02824802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02824c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02825002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02828302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02828702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02828b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02828f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02829302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0282a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0282a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0282ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0282af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0282b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0282c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0282c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0282c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0282cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0282d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02830302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02830702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02830b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02830f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02831302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02832302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02832702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02832b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02832f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02833002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02834002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02834402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02834802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02834c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02835002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02838302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02838702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02838b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02838f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02839302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0283a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0283a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0283ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0283af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0283b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0283c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0283c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0283c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0283cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0283d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02840302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02840702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02840b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02840f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02841302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02842302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02842702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02842b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02842f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02843002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02844002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02844402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02844802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02844c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02845002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02848302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02848702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02848b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02848f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02849302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0284a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0284a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0284ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0284af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0284b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0284c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0284c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0284c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0284cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0284d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02850302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02850702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02850b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02850f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02851302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02852302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02852702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02852b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02852f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02853002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02854002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02854402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02854802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02854c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02855002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02858302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02858702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02858b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02858f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02859302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0285a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0285a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0285ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0285af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0285b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0285c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0285c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0285c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0285cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0285d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02860302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02860702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02860b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02860f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02861302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02862302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02862702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02862b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02862f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02863002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02864002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02864402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02864802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02864c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02865002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02868302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02868702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02868b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02868f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02869302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0286a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0286a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0286ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0286af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0286b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0286c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0286c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0286c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0286cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0286d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02870302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02870702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02870b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02870f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02871302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02872302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02872702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02872b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02872f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02873002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02874002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02874402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02874802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02874c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02875002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02878302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02878702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02878b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02878f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02879302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0287a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0287a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0287ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0287af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0287b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0287c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0287c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0287c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0287cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0287d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02880302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02880702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02880b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02880f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02881302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02882302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02882702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02882b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02882f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02883002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02884002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02884402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02884802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02884c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02885002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02888302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02888702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02888b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02888f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02889302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0288a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0288a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0288ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0288af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0288b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0288c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0288c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0288c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0288cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0288d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02890302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02890702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02890b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02890f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02891302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02892302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02892702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02892b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02892f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02893002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02894002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02894402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02894802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02894c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02895002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02898302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02898702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02898b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02898f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02899302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0289a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0289a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0289ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0289af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0289b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0289c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0289c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0289c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0289cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0289d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028a0302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028a0702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028a0b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028a0f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028a1302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028a2302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028a2702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028a2b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028a2f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028a3002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028a4002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028a4402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028a4802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028a4c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028a5002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028a8302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028a8702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028a8b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028a8f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028a9302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028aa302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028aa702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028aab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028aaf02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028ab002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028ac002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028ac402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028ac802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028acc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028ad002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028b0302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028b0702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028b0b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028b0f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028b1302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028b2302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028b2702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028b2b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028b2f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028b3002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028b4002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028b4402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028b4802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028b4c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028b5002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028b8302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028b8702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028b8b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028b8f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028b9302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028ba302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028ba702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028bab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028baf02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028bb002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028bc002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028bc402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028bc802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028bcc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028bd002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028c0302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028c0702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028c0b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028c0f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028c1302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028c2302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028c2702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028c2b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028c2f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028c3002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028c4002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028c4402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028c4802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028c4c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028c5002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028c8302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028c8702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028c8b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028c8f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028c9302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028ca302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028ca702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028cab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028caf02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028cb002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028cc002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028cc402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028cc802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028ccc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028cd002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028d0302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028d0602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028d0b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028d0f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028d1302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028d2302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028d2702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028d2b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028d2f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028d3002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028d4002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028d4402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028d4802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028d4c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028d5002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028d8302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028d8602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028d8b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028d8f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028d9302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028da302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028da702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028dab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028daf02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028db002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028dc002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028dc402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028dc802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028dcc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028dd002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028e0302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028e0702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028e0b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028e0f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028e1302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028e2302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028e2702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028e2b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028e2f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028e3002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028e4002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028e4402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028e4802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028e4c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028e5002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028e8302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028e8702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028e8b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028e8f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028e9302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028ea302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028ea702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028eab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028eaf02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028eb002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028ec002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028ec402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028ec802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028ecc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028ed002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028f0302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028f0702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028f0b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028f0f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028f1302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028f2302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028f2702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028f2b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028f2f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028f3002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028f4002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028f4402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028f4802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028f4c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028f5002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028f8302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028f8702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028f8b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028f8f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028f9302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028fa302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028fa702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028fab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028faf02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028fb002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028fc002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028fc402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028fc802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028fcc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (028fd002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02900302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02900702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02900b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02900f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02901302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02902302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02902702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02902b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02902f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02903002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02904002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02904402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02904802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02904c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02905002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02908302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02908702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02908b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02908f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02909302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0290a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0290a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0290ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0290af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0290b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0290c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0290c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0290c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0290cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0290d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02910302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02910702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02910b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02910f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02911302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02912302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02912702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02912b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02912f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02913002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02914002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02914402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02914802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02914c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02915002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02918002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02918402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02918802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02918c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02919002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0291a002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0291a402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0291a802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0291ac02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0291b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0291c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0291c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0291c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0291cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0291d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02920302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02920702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02920b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02920f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02921302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02922302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02922702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02922b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02922f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02923002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02924002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02924402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02924802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02924c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02925002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02928302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02928702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02928b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02928f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02929302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0292a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0292a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0292ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0292af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0292b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0292c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0292c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0292c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0292cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0292d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02930302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02930702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02930b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02930f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02931302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02932302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02932702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02932b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02932f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02933002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02934002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02934402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02934802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02934c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02935002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02938302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02938702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02938b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02938f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02939302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0293a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0293a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0293ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0293af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0293b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0293c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0293c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0293c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0293cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0293d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02940302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02940702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02940b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02940f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02941302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02942302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02942702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02942b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02942f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02943002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02944002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02944402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02944802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02944c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02945002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02948302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02948702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02948b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02948f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02949302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0294a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0294a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0294ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0294af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0294b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0294c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0294c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0294c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0294cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0294d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02950302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02950702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02950b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02950f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02951302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02952302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02952702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02952b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02952f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02953002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02954002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02954402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02954802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02954c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02955002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02958302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02958702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02958b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02958f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02959302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0295a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0295a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0295ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0295af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0295b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0295c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0295c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0295c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0295cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0295d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02960002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02960402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02960802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02960c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02961002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02962002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02962402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02962802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02962c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02963002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02964002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02964402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02964802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02964c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02965002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02968302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02968702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02968b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02968f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02969302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0296a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0296a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0296ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0296af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0296b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0296c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0296c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0296c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0296cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0296d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02970302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02970702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02970b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02970f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02971302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02972302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02972702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02972b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02972f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02973002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02974002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02974402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02974802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02974c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02975002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02978302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02978702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02978b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02978f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02979302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0297a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0297a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0297ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0297af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0297b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0297c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0297c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0297c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0297cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0297d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02980302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02980702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02980b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02980f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02981302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02982302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02982702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02982b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02982f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02983002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02984002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02984402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02984802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02984c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02985002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02988302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02988602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02988b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02988f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02989302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0298a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0298a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0298ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0298af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0298b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0298c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0298c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0298c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0298cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0298d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02990302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02990702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02990b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02990f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02991302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02992302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02992702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02992b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02992f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02993002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02994002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02994402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02994802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02994c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02995002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02998302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02998702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02998b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02998f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02999302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0299a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0299a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0299ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0299af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0299b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0299c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0299c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0299c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0299cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (0299d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029a0302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029a0702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029a0b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029a0f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029a1302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029a2302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029a2702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029a2b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029a2f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029a3002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029a4002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029a4402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029a4802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029a4c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029a5002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029a8302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029a8702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029a8b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029a8f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029a9302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029aa302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029aa702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029aab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029aaf02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029ab002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029ac002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029ac402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029ac802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029acc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029ad002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029b0302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029b0702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029b0b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029b0f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029b1302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029b2302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029b2702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029b2b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029b2f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029b3002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029b4002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029b4402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029b4802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029b4c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029b5002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029b8302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029b8702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029b8b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029b8f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029b9302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029ba302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029ba702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029bab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029baf02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029bb002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029bc002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029bc402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029bc802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029bcc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029bd002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029c0302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029c0702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029c0b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029c0f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029c1302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029c2302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029c2702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029c2b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029c2f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029c3002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029c4002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029c4402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029c4802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029c4c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029c5002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029c8302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029c8702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029c8b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029c8f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029c9302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029ca302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029ca702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029cab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029caf02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029cb002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029cc002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029cc402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029cc802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029ccc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029cd002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029d0302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029d0702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029d0b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029d0f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029d1302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029d2302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029d2702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029d2b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029d2f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029d3002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029d4002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029d4402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029d4802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029d4c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029d5002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029d8302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029d8702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029d8b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029d8f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029d9302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029da302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029da702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029dab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029daf02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029db002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029dc002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029dc402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029dc802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029dcc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029dd002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029e0302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029e0702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029e0b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029e0f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029e1302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029e2302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029e2702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029e2b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029e2f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029e3002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029e4002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029e4402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029e4802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029e4c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029e5002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029e8302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029e8702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029e8b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029e8f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029e9302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029ea302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029ea702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029eab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029eaf02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029eb002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029ec002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029ec402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029ec802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029ecc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029ed002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029f0302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029f0702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029f0b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029f0f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029f1302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029f2302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029f2702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029f2b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029f2f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029f3002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029f4002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029f4402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029f4802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029f4c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029f5002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029f8302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029f8702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029f8b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029f8f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029f9302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029fa302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029fa702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029fab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029faf02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029fb002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029fc002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029fc402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029fc802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029fcc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (029fd002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a00302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a00702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a00b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a00f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a01302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a02302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a02702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a02b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a02f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a03002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a04002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a04402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a04802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a04c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a05002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a08302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a08702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a08b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a08f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a09302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a0a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a0a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a0ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a0af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a0b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a0c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a0c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a0c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a0cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a0d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a10302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a10702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a10b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a10f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a11302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a12302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a12702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a12b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a12f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a13002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a14002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a14402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a14802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a14c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a15002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a18202) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a18702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a18b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a18f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a19302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a1a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a1a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a1ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a1af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a1b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a1c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a1c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a1c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a1cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a1d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a20302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a20702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a20b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a20f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a21302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a22302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a22602) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a22b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a22f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a23002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a24002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a24402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a24802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a24c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a25002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a28302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a28702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a28b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a28f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a29302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a2a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a2a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a2ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a2af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a2b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a2c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a2c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a2c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a2cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a2d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a30302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a30702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a30b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a30f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a31302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a32302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a32702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a32b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a32f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a33002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a34002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a34402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a34802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a34c02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a35002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a38302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a38702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a38b02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a38f02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a39302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a3a302) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a3a702) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a3ab02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a3af02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a3b002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a3c002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a3c402) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a3c802) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a3cc02) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02a3d002) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c003fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c005fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c009fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c00dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c011fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c023fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c025fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c029fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c02dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c031fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c043fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c045fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c049fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c04dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c051fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c063fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c065fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c069fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c06dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c071fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c083fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c085fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c089fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c08dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c091fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c0a3fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c0a5fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c0a9fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c0adfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c0b1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c0c3fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c0c5fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c0c9fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c0cdfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c0d1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c0e3fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c0e5fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c0e9fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c0edfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c0f1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c103fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c105fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c109fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c10dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c111fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c123fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c125fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c129fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c12dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c131fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c143fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c145fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c149fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c14dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c151fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c163fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c165fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c169fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c16dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c171fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c183fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c185fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c189fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c18dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c191fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c1a3fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c1a5fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c1a9fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c1adfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c1b1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c1c3fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c1c5fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c1c9fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c1cdfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c1d1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c1e3fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c1e5fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c1e9fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c1edfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c1f1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c203fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c205fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c209fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c20dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c211fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c223fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c225fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c229fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c22dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c231fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c403fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c405fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c409fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c40dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c411fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c423fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c425fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c429fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c42dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c431fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c443fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c445fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c449fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c44dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c451fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c463fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c465fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c469fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c46dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c471fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c483fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c485fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c489fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c48dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c491fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c4a3fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c4a5fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c4a9fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c4adfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c4b1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c4c3fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c4c5fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c4c9fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c4cdfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c4d1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c4e3fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c4e5fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c4e9fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c4edfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c4f1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c503fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c505fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c509fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c50dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c511fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c523fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c525fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c529fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c52dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c531fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c543fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c545fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c549fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c54dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c551fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c563fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c565fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c569fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c56dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c571fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c583fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c585fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c589fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c58dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c591fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c5a3fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c5a5fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c5a9fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c5adfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c5b1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c5c3fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c5c5fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c5c9fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c5cdfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c5d1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c5e3fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c5e5fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c5e9fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c5edfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c5f1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c603fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c605fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c609fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c60dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c611fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c623fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c625fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c629fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c62dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c631fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c803fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c805fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c809fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c80dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c811fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c823fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c825fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c829fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c82dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c831fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c843fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c845fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c849fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c84dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c851fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c863fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c865fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c869fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c86dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c871fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c883fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c885fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c889fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c88dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c891fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c8a3fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c8a5fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c8a9fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c8adfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c8b1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c8c3fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c8c5fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c8c9fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c8cdfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c8d1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c8e3fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c8e5fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c8e9fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c8edfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c8f1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c903fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c905fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c909fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c90dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c911fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c923fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c925fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c929fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c92dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c931fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c943fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c945fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c949fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c94dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c951fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c963fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c965fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c969fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c96dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c971fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c983fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c985fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c989fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c98dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c991fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c9a3fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c9a5fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c9a9fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c9adfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c9b1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c9c3fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c9c5fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c9c9fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c9cdfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c9d1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c9e3fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c9e5fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c9e9fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c9edfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02c9f1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02ca03fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02ca05fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02ca09fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02ca0dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02ca11fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02ca23fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02ca25fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02ca29fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02ca2dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02ca31fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02cc03fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02cc05fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02cc09fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02cc0dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02cc11fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02cc23fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02cc25fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02cc29fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02cc2dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02cc31fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02cc43fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02cc45fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02cc49fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02cc4dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02cc51fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02cc63fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02cc65fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02cc69fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02cc6dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02cc71fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02cc83fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02cc85fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02cc89fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02cc8dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02cc91fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02cca3fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02cca5fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02cca9fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02ccadfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02ccb1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02ccc3fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02ccc5fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02ccc9fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02cccdfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02ccd1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02cce3fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02cce5fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02cce9fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02ccedfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02ccf1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02cd03fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02cd05fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02cd09fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02cd0dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02cd11fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02cd23fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02cd25fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02cd29fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02cd2dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02cd31fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02cd43fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02cd45fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02cd49fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02cd4dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02cd51fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02cd63fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02cd65fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02cd69fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02cd6dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02cd71fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02cd83fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02cd85fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02cd89fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02cd8dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02cd91fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02cda3fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02cda5fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02cda9fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02cdadfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02cdb1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02cdc3fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02cdc5fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02cdc9fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02cdcdfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02cdd1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02cde3fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02cde5fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02cde9fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02cdedfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02cdf1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02ce03fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02ce05fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02ce09fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02ce0dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02ce11fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02ce23fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02ce25fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02ce29fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02ce2dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02ce31fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d003fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d005fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d009fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d00dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d011fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d023fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d025fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d029fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d02dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d031fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d043fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d045fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d049fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d04dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d051fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d063fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d065fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d069fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d06dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d071fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d083fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d085fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d089fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d08dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d091fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d0a3fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d0a5fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d0a9fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d0adfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d0b1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d0c3fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d0c5fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d0c9fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d0cdfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d0d1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d0e3fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d0e5fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d0e9fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d0edfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d0f1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d103fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d105fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d109fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d10dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d111fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d123fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d125fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d129fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d12dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d131fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d143fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d145fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d149fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d14dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d151fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d163fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d165fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d169fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d16dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d171fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d183fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d185fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d189fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d18dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d191fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d1a3fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d1a5fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d1a9fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d1adfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d1b1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d1c3fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d1c5fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d1c9fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d1cdfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d1d1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d1e3fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d1e5fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d1e9fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d1edfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d1f1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d203fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d205fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d209fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d20dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d211fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d223fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d225fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d229fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d22dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d231fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d403fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d405fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d409fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d40dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d411fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d423fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d425fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d429fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d42dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d431fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d443fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d445fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d449fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d44dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d451fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d463fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d465fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d469fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d46dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d471fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d483fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d485fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d489fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d48dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d491fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d4a3fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d4a5fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d4a9fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d4adfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d4b1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d4c3fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d4c5fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d4c9fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d4cdfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d4d1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d4e3fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d4e5fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d4e9fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d4edfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d4f1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d503fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d505fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d509fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d50dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d511fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d523fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d525fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d529fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d52dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d531fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d543fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d545fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d549fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d54dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d551fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d563fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d565fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d569fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d56dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d571fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d583fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d585fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d589fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d58dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d591fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d5a3fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d5a5fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d5a9fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d5adfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d5b1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d5c3fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d5c5fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d5c9fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d5cdfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d5d1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d5e3fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d5e5fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d5e9fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d5edfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d5f1fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d603fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d605fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d609fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d60dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d611fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d623fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d625fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d629fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d62dfe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d631fe) TDO (00000003) ;
RUNTEST 160 TCK;
SDR 27 TDI (02d631fe) TDO (00000003) ;
RUNTEST 160 TCK;
//Loading device with 'ispen' instruction.
SIR 8 TDI (e8) SMASK (ff) ;
SDR 10 TDI (007f) SMASK (0000) ;
// Loading device with a 'fvfy' instruction. 
SIR 8 TDI (ee) ;
SDR 27 TDI (00000352) SMASK (07ffffff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00000702) TDO (00000353) MASK (07ffffff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00000b02) TDO (00000703) ;
RUNTEST 1 TCK;
SDR 27 TDI (00000f02) TDO (00000b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (000013aa) TDO (00000f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00002302) TDO (000013ab) ;
RUNTEST 1 TCK;
SDR 27 TDI (00002702) TDO (00002303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00002b02) TDO (00002703) ;
RUNTEST 1 TCK;
SDR 27 TDI (00002f02) TDO (00002b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00003002) TDO (00002f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (000040a2) TDO (00003003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00004402) TDO (000040a3) ;
RUNTEST 1 TCK;
SDR 27 TDI (00004802) TDO (00004403) ;
RUNTEST 1 TCK;
SDR 27 TDI (00004c02) TDO (00004803) ;
RUNTEST 1 TCK;
SDR 27 TDI (00005052) TDO (00004c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00008302) TDO (00005053) ;
RUNTEST 1 TCK;
SDR 27 TDI (00008752) TDO (00008303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00008b02) TDO (00008753) ;
RUNTEST 1 TCK;
SDR 27 TDI (00008f02) TDO (00008b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00009302) TDO (00008f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0000a302) TDO (00009303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0000a702) TDO (0000a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0000ab02) TDO (0000a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0000af02) TDO (0000ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0000b0f2) TDO (0000af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0000c006) TDO (0000b0f3) ;
RUNTEST 1 TCK;
SDR 27 TDI (0000c4a2) TDO (0000c007) ;
RUNTEST 1 TCK;
SDR 27 TDI (0000c802) TDO (0000c4a3) ;
RUNTEST 1 TCK;
SDR 27 TDI (0000cc0a) TDO (0000c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0000d002) TDO (0000cc0b) ;
RUNTEST 1 TCK;
SDR 27 TDI (00010302) TDO (0000d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00010702) TDO (00010303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00010b02) TDO (00010703) ;
RUNTEST 1 TCK;
SDR 27 TDI (00010f02) TDO (00010b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00011302) TDO (00010f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00012302) TDO (00011303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00012702) TDO (00012303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00012b02) TDO (00012703) ;
RUNTEST 1 TCK;
SDR 27 TDI (00012f02) TDO (00012b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00013002) TDO (00012f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00014002) TDO (00013003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00014402) TDO (00014003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00014802) TDO (00014403) ;
RUNTEST 1 TCK;
SDR 27 TDI (00014c02) TDO (00014803) ;
RUNTEST 1 TCK;
SDR 27 TDI (00015002) TDO (00014c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00018302) TDO (00015003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00018702) TDO (00018303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00018a02) TDO (00018703) ;
RUNTEST 1 TCK;
SDR 27 TDI (00018f02) TDO (00018a03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00019302) TDO (00018f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0001a302) TDO (00019303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0001a702) TDO (0001a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0001ab02) TDO (0001a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0001af02) TDO (0001ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0001b002) TDO (0001af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0001c002) TDO (0001b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0001c402) TDO (0001c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0001c802) TDO (0001c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0001cc02) TDO (0001c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0001d002) TDO (0001cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00020302) TDO (0001d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00020702) TDO (00020303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00020b02) TDO (00020703) ;
RUNTEST 1 TCK;
SDR 27 TDI (00020f02) TDO (00020b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00021302) TDO (00020f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00022302) TDO (00021303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00022702) TDO (00022303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00022b02) TDO (00022703) ;
RUNTEST 1 TCK;
SDR 27 TDI (00022f02) TDO (00022b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00023002) TDO (00022f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00024002) TDO (00023003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00024402) TDO (00024003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00024802) TDO (00024403) ;
RUNTEST 1 TCK;
SDR 27 TDI (00024c02) TDO (00024803) ;
RUNTEST 1 TCK;
SDR 27 TDI (00025002) TDO (00024c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00028302) TDO (00025003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00028702) TDO (00028303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00028b02) TDO (00028703) ;
RUNTEST 1 TCK;
SDR 27 TDI (00028f02) TDO (00028b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00029302) TDO (00028f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0002a302) TDO (00029303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0002a702) TDO (0002a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0002ab02) TDO (0002a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0002af02) TDO (0002ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0002b002) TDO (0002af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0002c002) TDO (0002b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0002c402) TDO (0002c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0002c802) TDO (0002c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0002cc02) TDO (0002c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0002d002) TDO (0002cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00030202) TDO (0002d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00030402) TDO (00030203) ;
RUNTEST 1 TCK;
SDR 27 TDI (00030902) TDO (00030403) ;
RUNTEST 1 TCK;
SDR 27 TDI (00030f02) TDO (00030903) ;
RUNTEST 1 TCK;
SDR 27 TDI (00031202) TDO (00030f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00032002) TDO (00031203) ;
RUNTEST 1 TCK;
SDR 27 TDI (00032702) TDO (00032003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00032802) TDO (00032703) ;
RUNTEST 1 TCK;
SDR 27 TDI (00032f02) TDO (00032803) ;
RUNTEST 1 TCK;
SDR 27 TDI (00033002) TDO (00032f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00034002) TDO (00033003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00034402) TDO (00034003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00034802) TDO (00034403) ;
RUNTEST 1 TCK;
SDR 27 TDI (00034c02) TDO (00034803) ;
RUNTEST 1 TCK;
SDR 27 TDI (00035002) TDO (00034c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00038202) TDO (00035003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00038442) TDO (00038203) ;
RUNTEST 1 TCK;
SDR 27 TDI (00038a0a) TDO (00038443) ;
RUNTEST 1 TCK;
SDR 27 TDI (00038d0a) TDO (00038a0b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0003920a) TDO (00038d0b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0003a202) TDO (0003920b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0003a402) TDO (0003a203) ;
RUNTEST 1 TCK;
SDR 27 TDI (0003a802) TDO (0003a403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0003af02) TDO (0003a803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0003b0e2) TDO (0003af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0003c002) TDO (0003b0e3) ;
RUNTEST 1 TCK;
SDR 27 TDI (0003c4a2) TDO (0003c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0003c802) TDO (0003c4a3) ;
RUNTEST 1 TCK;
SDR 27 TDI (0003cc02) TDO (0003c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0003d002) TDO (0003cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00040302) TDO (0003d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00040702) TDO (00040303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00040b02) TDO (00040703) ;
RUNTEST 1 TCK;
SDR 27 TDI (00040f02) TDO (00040b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00041302) TDO (00040f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00042302) TDO (00041303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00042702) TDO (00042303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00042b02) TDO (00042703) ;
RUNTEST 1 TCK;
SDR 27 TDI (00042f02) TDO (00042b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00043002) TDO (00042f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00044002) TDO (00043003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00044402) TDO (00044003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00044802) TDO (00044403) ;
RUNTEST 1 TCK;
SDR 27 TDI (00044c02) TDO (00044803) ;
RUNTEST 1 TCK;
SDR 27 TDI (00045002) TDO (00044c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00048302) TDO (00045003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00048702) TDO (00048303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00048b02) TDO (00048703) ;
RUNTEST 1 TCK;
SDR 27 TDI (00048f02) TDO (00048b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00049302) TDO (00048f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0004a302) TDO (00049303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0004a702) TDO (0004a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0004ab02) TDO (0004a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0004af02) TDO (0004ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0004b002) TDO (0004af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0004c002) TDO (0004b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0004c402) TDO (0004c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0004c802) TDO (0004c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0004cc02) TDO (0004c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0004d002) TDO (0004cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00050302) TDO (0004d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00050702) TDO (00050303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00050b02) TDO (00050703) ;
RUNTEST 1 TCK;
SDR 27 TDI (00050f02) TDO (00050b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00051302) TDO (00050f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00052302) TDO (00051303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00052702) TDO (00052303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00052b02) TDO (00052703) ;
RUNTEST 1 TCK;
SDR 27 TDI (00052f02) TDO (00052b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00053002) TDO (00052f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00054002) TDO (00053003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00054402) TDO (00054003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00054802) TDO (00054403) ;
RUNTEST 1 TCK;
SDR 27 TDI (00054c02) TDO (00054803) ;
RUNTEST 1 TCK;
SDR 27 TDI (00055002) TDO (00054c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00058302) TDO (00055003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00058752) TDO (00058303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00058b02) TDO (00058753) ;
RUNTEST 1 TCK;
SDR 27 TDI (00058f02) TDO (00058b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00059302) TDO (00058f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0005a302) TDO (00059303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0005a702) TDO (0005a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0005ab02) TDO (0005a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0005af02) TDO (0005ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0005b0f2) TDO (0005af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0005c006) TDO (0005b0f3) ;
RUNTEST 1 TCK;
SDR 27 TDI (0005c4a2) TDO (0005c007) ;
RUNTEST 1 TCK;
SDR 27 TDI (0005c80a) TDO (0005c4a3) ;
RUNTEST 1 TCK;
SDR 27 TDI (0005cc02) TDO (0005c80b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0005d002) TDO (0005cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00060102) TDO (0005d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00060402) TDO (00060103) ;
RUNTEST 1 TCK;
SDR 27 TDI (00060b02) TDO (00060403) ;
RUNTEST 1 TCK;
SDR 27 TDI (00060e02) TDO (00060b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00061102) TDO (00060e03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00062002) TDO (00061103) ;
RUNTEST 1 TCK;
SDR 27 TDI (00062502) TDO (00062003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00062802) TDO (00062503) ;
RUNTEST 1 TCK;
SDR 27 TDI (00062e02) TDO (00062803) ;
RUNTEST 1 TCK;
SDR 27 TDI (00063002) TDO (00062e03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00064002) TDO (00063003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00064402) TDO (00064003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00064802) TDO (00064403) ;
RUNTEST 1 TCK;
SDR 27 TDI (00064c02) TDO (00064803) ;
RUNTEST 1 TCK;
SDR 27 TDI (00065002) TDO (00064c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (000681aa) TDO (00065003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00068502) TDO (000681ab) ;
RUNTEST 1 TCK;
SDR 27 TDI (00068802) TDO (00068503) ;
RUNTEST 1 TCK;
SDR 27 TDI (00068c02) TDO (00068803) ;
RUNTEST 1 TCK;
SDR 27 TDI (00069102) TDO (00068c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0006a0f2) TDO (00069103) ;
RUNTEST 1 TCK;
SDR 27 TDI (0006a402) TDO (0006a0f3) ;
RUNTEST 1 TCK;
SDR 27 TDI (0006a802) TDO (0006a403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0006ac02) TDO (0006a803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0006b002) TDO (0006ac03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0006c05a) TDO (0006b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0006c402) TDO (0006c05b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0006c802) TDO (0006c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0006cc02) TDO (0006c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0006d002) TDO (0006cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00070102) TDO (0006d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00070552) TDO (00070103) ;
RUNTEST 1 TCK;
SDR 27 TDI (00070b02) TDO (00070553) ;
RUNTEST 1 TCK;
SDR 27 TDI (00070e02) TDO (00070b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00071102) TDO (00070e03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00072002) TDO (00071103) ;
RUNTEST 1 TCK;
SDR 27 TDI (00072502) TDO (00072003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00072802) TDO (00072503) ;
RUNTEST 1 TCK;
SDR 27 TDI (00072e02) TDO (00072803) ;
RUNTEST 1 TCK;
SDR 27 TDI (000730f2) TDO (00072e03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00074006) TDO (000730f3) ;
RUNTEST 1 TCK;
SDR 27 TDI (000744a2) TDO (00074007) ;
RUNTEST 1 TCK;
SDR 27 TDI (00074802) TDO (000744a3) ;
RUNTEST 1 TCK;
SDR 27 TDI (00074c0a) TDO (00074803) ;
RUNTEST 1 TCK;
SDR 27 TDI (00075002) TDO (00074c0b) ;
RUNTEST 1 TCK;
SDR 27 TDI (00078102) TDO (00075003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00078502) TDO (00078103) ;
RUNTEST 1 TCK;
SDR 27 TDI (00078902) TDO (00078503) ;
RUNTEST 1 TCK;
SDR 27 TDI (00078caa) TDO (00078903) ;
RUNTEST 1 TCK;
SDR 27 TDI (00079102) TDO (00078cab) ;
RUNTEST 1 TCK;
SDR 27 TDI (0007a002) TDO (00079103) ;
RUNTEST 1 TCK;
SDR 27 TDI (0007a402) TDO (0007a003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0007a802) TDO (0007a403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0007acf2) TDO (0007a803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0007b002) TDO (0007acf3) ;
RUNTEST 1 TCK;
SDR 27 TDI (0007c002) TDO (0007b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0007c402) TDO (0007c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0007c802) TDO (0007c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0007cc52) TDO (0007c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0007d002) TDO (0007cc53) ;
RUNTEST 1 TCK;
SDR 27 TDI (00080102) TDO (0007d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00080502) TDO (00080103) ;
RUNTEST 1 TCK;
SDR 27 TDI (00080b02) TDO (00080503) ;
RUNTEST 1 TCK;
SDR 27 TDI (00080e02) TDO (00080b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00081102) TDO (00080e03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00082002) TDO (00081103) ;
RUNTEST 1 TCK;
SDR 27 TDI (00082502) TDO (00082003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00082802) TDO (00082503) ;
RUNTEST 1 TCK;
SDR 27 TDI (00082e02) TDO (00082803) ;
RUNTEST 1 TCK;
SDR 27 TDI (00083002) TDO (00082e03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00084002) TDO (00083003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00084402) TDO (00084003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00084802) TDO (00084403) ;
RUNTEST 1 TCK;
SDR 27 TDI (00084c02) TDO (00084803) ;
RUNTEST 1 TCK;
SDR 27 TDI (00085002) TDO (00084c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00088312) TDO (00085003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00088742) TDO (00088313) ;
RUNTEST 1 TCK;
SDR 27 TDI (00088b02) TDO (00088743) ;
RUNTEST 1 TCK;
SDR 27 TDI (00088f02) TDO (00088b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00089302) TDO (00088f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0008a302) TDO (00089303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0008a702) TDO (0008a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0008ab12) TDO (0008a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0008af12) TDO (0008ab13) ;
RUNTEST 1 TCK;
SDR 27 TDI (0008b0f2) TDO (0008af13) ;
RUNTEST 1 TCK;
SDR 27 TDI (0008c006) TDO (0008b0f3) ;
RUNTEST 1 TCK;
SDR 27 TDI (0008c4a2) TDO (0008c007) ;
RUNTEST 1 TCK;
SDR 27 TDI (0008c802) TDO (0008c4a3) ;
RUNTEST 1 TCK;
SDR 27 TDI (0008cc02) TDO (0008c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0008d002) TDO (0008cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00090102) TDO (0008d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00090502) TDO (00090103) ;
RUNTEST 1 TCK;
SDR 27 TDI (00090b02) TDO (00090503) ;
RUNTEST 1 TCK;
SDR 27 TDI (00090e02) TDO (00090b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00091102) TDO (00090e03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00092002) TDO (00091103) ;
RUNTEST 1 TCK;
SDR 27 TDI (00092502) TDO (00092003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00092802) TDO (00092503) ;
RUNTEST 1 TCK;
SDR 27 TDI (00092e02) TDO (00092803) ;
RUNTEST 1 TCK;
SDR 27 TDI (00093002) TDO (00092e03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00094002) TDO (00093003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00094402) TDO (00094003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00094802) TDO (00094403) ;
RUNTEST 1 TCK;
SDR 27 TDI (00094c02) TDO (00094803) ;
RUNTEST 1 TCK;
SDR 27 TDI (00095002) TDO (00094c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00098302) TDO (00095003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00098702) TDO (00098303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00098b22) TDO (00098703) ;
RUNTEST 1 TCK;
SDR 27 TDI (00098f22) TDO (00098b23) ;
RUNTEST 1 TCK;
SDR 27 TDI (00099322) TDO (00098f23) ;
RUNTEST 1 TCK;
SDR 27 TDI (0009a302) TDO (00099323) ;
RUNTEST 1 TCK;
SDR 27 TDI (0009a702) TDO (0009a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0009ab02) TDO (0009a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0009af02) TDO (0009ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0009b0c2) TDO (0009af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0009c002) TDO (0009b0c3) ;
RUNTEST 1 TCK;
SDR 27 TDI (0009c482) TDO (0009c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0009c802) TDO (0009c483) ;
RUNTEST 1 TCK;
SDR 27 TDI (0009cc02) TDO (0009c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0009d002) TDO (0009cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (000a0102) TDO (0009d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (000a0702) TDO (000a0103) ;
RUNTEST 1 TCK;
SDR 27 TDI (000a0baa) TDO (000a0703) ;
RUNTEST 1 TCK;
SDR 27 TDI (000a0e02) TDO (000a0bab) ;
RUNTEST 1 TCK;
SDR 27 TDI (000a1302) TDO (000a0e03) ;
RUNTEST 1 TCK;
SDR 27 TDI (000a2102) TDO (000a1303) ;
RUNTEST 1 TCK;
SDR 27 TDI (000a2502) TDO (000a2103) ;
RUNTEST 1 TCK;
SDR 27 TDI (000a2bf2) TDO (000a2503) ;
RUNTEST 1 TCK;
SDR 27 TDI (000a2e02) TDO (000a2bf3) ;
RUNTEST 1 TCK;
SDR 27 TDI (000a3002) TDO (000a2e03) ;
RUNTEST 1 TCK;
SDR 27 TDI (000a4002) TDO (000a3003) ;
RUNTEST 1 TCK;
SDR 27 TDI (000a4402) TDO (000a4003) ;
RUNTEST 1 TCK;
SDR 27 TDI (000a4852) TDO (000a4403) ;
RUNTEST 1 TCK;
SDR 27 TDI (000a4c02) TDO (000a4853) ;
RUNTEST 1 TCK;
SDR 27 TDI (000a5002) TDO (000a4c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (000a8302) TDO (000a5003) ;
RUNTEST 1 TCK;
SDR 27 TDI (000a8552) TDO (000a8303) ;
RUNTEST 1 TCK;
SDR 27 TDI (000a8b02) TDO (000a8553) ;
RUNTEST 1 TCK;
SDR 27 TDI (000a8f02) TDO (000a8b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (000a9102) TDO (000a8f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (000aa302) TDO (000a9103) ;
RUNTEST 1 TCK;
SDR 27 TDI (000aa702) TDO (000aa303) ;
RUNTEST 1 TCK;
SDR 27 TDI (000aa802) TDO (000aa703) ;
RUNTEST 1 TCK;
SDR 27 TDI (000aaf02) TDO (000aa803) ;
RUNTEST 1 TCK;
SDR 27 TDI (000ab0f2) TDO (000aaf03) ;
RUNTEST 1 TCK;
SDR 27 TDI (000ac006) TDO (000ab0f3) ;
RUNTEST 1 TCK;
SDR 27 TDI (000ac4a2) TDO (000ac007) ;
RUNTEST 1 TCK;
SDR 27 TDI (000ac802) TDO (000ac4a3) ;
RUNTEST 1 TCK;
SDR 27 TDI (000acc0a) TDO (000ac803) ;
RUNTEST 1 TCK;
SDR 27 TDI (000ad002) TDO (000acc0b) ;
RUNTEST 1 TCK;
SDR 27 TDI (000b0302) TDO (000ad003) ;
RUNTEST 1 TCK;
SDR 27 TDI (000b0702) TDO (000b0303) ;
RUNTEST 1 TCK;
SDR 27 TDI (000b0a02) TDO (000b0703) ;
RUNTEST 1 TCK;
SDR 27 TDI (000b0f02) TDO (000b0a03) ;
RUNTEST 1 TCK;
SDR 27 TDI (000b1202) TDO (000b0f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (000b2302) TDO (000b1203) ;
RUNTEST 1 TCK;
SDR 27 TDI (000b2702) TDO (000b2303) ;
RUNTEST 1 TCK;
SDR 27 TDI (000b2b02) TDO (000b2703) ;
RUNTEST 1 TCK;
SDR 27 TDI (000b2f02) TDO (000b2b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (000b3002) TDO (000b2f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (000b4002) TDO (000b3003) ;
RUNTEST 1 TCK;
SDR 27 TDI (000b4402) TDO (000b4003) ;
RUNTEST 1 TCK;
SDR 27 TDI (000b4802) TDO (000b4403) ;
RUNTEST 1 TCK;
SDR 27 TDI (000b4c02) TDO (000b4803) ;
RUNTEST 1 TCK;
SDR 27 TDI (000b5002) TDO (000b4c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (000b8302) TDO (000b5003) ;
RUNTEST 1 TCK;
SDR 27 TDI (000b8702) TDO (000b8303) ;
RUNTEST 1 TCK;
SDR 27 TDI (000b8b02) TDO (000b8703) ;
RUNTEST 1 TCK;
SDR 27 TDI (000b8e02) TDO (000b8b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (000b9102) TDO (000b8e03) ;
RUNTEST 1 TCK;
SDR 27 TDI (000ba102) TDO (000b9103) ;
RUNTEST 1 TCK;
SDR 27 TDI (000ba702) TDO (000ba103) ;
RUNTEST 1 TCK;
SDR 27 TDI (000baa02) TDO (000ba703) ;
RUNTEST 1 TCK;
SDR 27 TDI (000bae02) TDO (000baa03) ;
RUNTEST 1 TCK;
SDR 27 TDI (000bb002) TDO (000bae03) ;
RUNTEST 1 TCK;
SDR 27 TDI (000bc002) TDO (000bb003) ;
RUNTEST 1 TCK;
SDR 27 TDI (000bc402) TDO (000bc003) ;
RUNTEST 1 TCK;
SDR 27 TDI (000bc802) TDO (000bc403) ;
RUNTEST 1 TCK;
SDR 27 TDI (000bcc02) TDO (000bc803) ;
RUNTEST 1 TCK;
SDR 27 TDI (000bd002) TDO (000bcc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (000c0102) TDO (000bd003) ;
RUNTEST 1 TCK;
SDR 27 TDI (000c05aa) TDO (000c0103) ;
RUNTEST 1 TCK;
SDR 27 TDI (000c0b02) TDO (000c05ab) ;
RUNTEST 1 TCK;
SDR 27 TDI (000c0f02) TDO (000c0b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (000c1302) TDO (000c0f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (000c2302) TDO (000c1303) ;
RUNTEST 1 TCK;
SDR 27 TDI (000c25f2) TDO (000c2303) ;
RUNTEST 1 TCK;
SDR 27 TDI (000c2902) TDO (000c25f3) ;
RUNTEST 1 TCK;
SDR 27 TDI (000c2f02) TDO (000c2903) ;
RUNTEST 1 TCK;
SDR 27 TDI (000c3002) TDO (000c2f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (000c4002) TDO (000c3003) ;
RUNTEST 1 TCK;
SDR 27 TDI (000c445a) TDO (000c4003) ;
RUNTEST 1 TCK;
SDR 27 TDI (000c4802) TDO (000c445b) ;
RUNTEST 1 TCK;
SDR 27 TDI (000c4c02) TDO (000c4803) ;
RUNTEST 1 TCK;
SDR 27 TDI (000c5002) TDO (000c4c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (000c8302) TDO (000c5003) ;
RUNTEST 1 TCK;
SDR 27 TDI (000c8502) TDO (000c8303) ;
RUNTEST 1 TCK;
SDR 27 TDI (000c8902) TDO (000c8503) ;
RUNTEST 1 TCK;
SDR 27 TDI (000c8f02) TDO (000c8903) ;
RUNTEST 1 TCK;
SDR 27 TDI (000c9302) TDO (000c8f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (000ca306) TDO (000c9303) ;
RUNTEST 1 TCK;
SDR 27 TDI (000ca702) TDO (000ca307) ;
RUNTEST 1 TCK;
SDR 27 TDI (000ca902) TDO (000ca703) ;
RUNTEST 1 TCK;
SDR 27 TDI (000cad02) TDO (000ca903) ;
RUNTEST 1 TCK;
SDR 27 TDI (000cb002) TDO (000cad03) ;
RUNTEST 1 TCK;
SDR 27 TDI (000cc002) TDO (000cb003) ;
RUNTEST 1 TCK;
SDR 27 TDI (000cc402) TDO (000cc003) ;
RUNTEST 1 TCK;
SDR 27 TDI (000cc802) TDO (000cc403) ;
RUNTEST 1 TCK;
SDR 27 TDI (000ccc02) TDO (000cc803) ;
RUNTEST 1 TCK;
SDR 27 TDI (000cd002) TDO (000ccc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (000d0102) TDO (000cd003) ;
RUNTEST 1 TCK;
SDR 27 TDI (000d0402) TDO (000d0103) ;
RUNTEST 1 TCK;
SDR 27 TDI (000d0a02) TDO (000d0403) ;
RUNTEST 1 TCK;
SDR 27 TDI (000d0e02) TDO (000d0a03) ;
RUNTEST 1 TCK;
SDR 27 TDI (000d1002) TDO (000d0e03) ;
RUNTEST 1 TCK;
SDR 27 TDI (000d2002) TDO (000d1003) ;
RUNTEST 1 TCK;
SDR 27 TDI (000d2502) TDO (000d2003) ;
RUNTEST 1 TCK;
SDR 27 TDI (000d2802) TDO (000d2503) ;
RUNTEST 1 TCK;
SDR 27 TDI (000d2e02) TDO (000d2803) ;
RUNTEST 1 TCK;
SDR 27 TDI (000d3002) TDO (000d2e03) ;
RUNTEST 1 TCK;
SDR 27 TDI (000d4002) TDO (000d3003) ;
RUNTEST 1 TCK;
SDR 27 TDI (000d4402) TDO (000d4003) ;
RUNTEST 1 TCK;
SDR 27 TDI (000d4802) TDO (000d4403) ;
RUNTEST 1 TCK;
SDR 27 TDI (000d4c02) TDO (000d4803) ;
RUNTEST 1 TCK;
SDR 27 TDI (000d5002) TDO (000d4c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (000d8142) TDO (000d5003) ;
RUNTEST 1 TCK;
SDR 27 TDI (000d8502) TDO (000d8143) ;
RUNTEST 1 TCK;
SDR 27 TDI (000d8b02) TDO (000d8503) ;
RUNTEST 1 TCK;
SDR 27 TDI (000d8e02) TDO (000d8b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (000d9102) TDO (000d8e03) ;
RUNTEST 1 TCK;
SDR 27 TDI (000da002) TDO (000d9103) ;
RUNTEST 1 TCK;
SDR 27 TDI (000da502) TDO (000da003) ;
RUNTEST 1 TCK;
SDR 27 TDI (000da842) TDO (000da503) ;
RUNTEST 1 TCK;
SDR 27 TDI (000dae42) TDO (000da843) ;
RUNTEST 1 TCK;
SDR 27 TDI (000db0e2) TDO (000dae43) ;
RUNTEST 1 TCK;
SDR 27 TDI (000dc002) TDO (000db0e3) ;
RUNTEST 1 TCK;
SDR 27 TDI (000dc482) TDO (000dc003) ;
RUNTEST 1 TCK;
SDR 27 TDI (000dc802) TDO (000dc483) ;
RUNTEST 1 TCK;
SDR 27 TDI (000dcc02) TDO (000dc803) ;
RUNTEST 1 TCK;
SDR 27 TDI (000dd002) TDO (000dcc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (000e0302) TDO (000dd003) ;
RUNTEST 1 TCK;
SDR 27 TDI (000e0602) TDO (000e0303) ;
RUNTEST 1 TCK;
SDR 27 TDI (000e0a02) TDO (000e0603) ;
RUNTEST 1 TCK;
SDR 27 TDI (000e0f02) TDO (000e0a03) ;
RUNTEST 1 TCK;
SDR 27 TDI (000e1202) TDO (000e0f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (000e2302) TDO (000e1203) ;
RUNTEST 1 TCK;
SDR 27 TDI (000e2702) TDO (000e2303) ;
RUNTEST 1 TCK;
SDR 27 TDI (000e2b02) TDO (000e2703) ;
RUNTEST 1 TCK;
SDR 27 TDI (000e2f02) TDO (000e2b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (000e3002) TDO (000e2f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (000e4002) TDO (000e3003) ;
RUNTEST 1 TCK;
SDR 27 TDI (000e4402) TDO (000e4003) ;
RUNTEST 1 TCK;
SDR 27 TDI (000e4802) TDO (000e4403) ;
RUNTEST 1 TCK;
SDR 27 TDI (000e4c02) TDO (000e4803) ;
RUNTEST 1 TCK;
SDR 27 TDI (000e5002) TDO (000e4c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (000e8302) TDO (000e5003) ;
RUNTEST 1 TCK;
SDR 27 TDI (000e8742) TDO (000e8303) ;
RUNTEST 1 TCK;
SDR 27 TDI (000e8b02) TDO (000e8743) ;
RUNTEST 1 TCK;
SDR 27 TDI (000e8f02) TDO (000e8b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (000e9302) TDO (000e8f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (000ea302) TDO (000e9303) ;
RUNTEST 1 TCK;
SDR 27 TDI (000ea702) TDO (000ea303) ;
RUNTEST 1 TCK;
SDR 27 TDI (000eab02) TDO (000ea703) ;
RUNTEST 1 TCK;
SDR 27 TDI (000eaf02) TDO (000eab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (000eb0e2) TDO (000eaf03) ;
RUNTEST 1 TCK;
SDR 27 TDI (000ec006) TDO (000eb0e3) ;
RUNTEST 1 TCK;
SDR 27 TDI (000ec4a2) TDO (000ec007) ;
RUNTEST 1 TCK;
SDR 27 TDI (000ec812) TDO (000ec4a3) ;
RUNTEST 1 TCK;
SDR 27 TDI (000ecc12) TDO (000ec813) ;
RUNTEST 1 TCK;
SDR 27 TDI (000ed012) TDO (000ecc13) ;
RUNTEST 1 TCK;
SDR 27 TDI (000f0302) TDO (000ed013) ;
RUNTEST 1 TCK;
SDR 27 TDI (000f0702) TDO (000f0303) ;
RUNTEST 1 TCK;
SDR 27 TDI (000f0b02) TDO (000f0703) ;
RUNTEST 1 TCK;
SDR 27 TDI (000f0f02) TDO (000f0b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (000f1302) TDO (000f0f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (000f2302) TDO (000f1303) ;
RUNTEST 1 TCK;
SDR 27 TDI (000f2702) TDO (000f2303) ;
RUNTEST 1 TCK;
SDR 27 TDI (000f2b02) TDO (000f2703) ;
RUNTEST 1 TCK;
SDR 27 TDI (000f2f02) TDO (000f2b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (000f3002) TDO (000f2f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (000f4002) TDO (000f3003) ;
RUNTEST 1 TCK;
SDR 27 TDI (000f4402) TDO (000f4003) ;
RUNTEST 1 TCK;
SDR 27 TDI (000f4802) TDO (000f4403) ;
RUNTEST 1 TCK;
SDR 27 TDI (000f4c02) TDO (000f4803) ;
RUNTEST 1 TCK;
SDR 27 TDI (000f5002) TDO (000f4c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (000f8302) TDO (000f5003) ;
RUNTEST 1 TCK;
SDR 27 TDI (000f8702) TDO (000f8303) ;
RUNTEST 1 TCK;
SDR 27 TDI (000f8b82) TDO (000f8703) ;
RUNTEST 1 TCK;
SDR 27 TDI (000f8f82) TDO (000f8b83) ;
RUNTEST 1 TCK;
SDR 27 TDI (000f9382) TDO (000f8f83) ;
RUNTEST 1 TCK;
SDR 27 TDI (000fa302) TDO (000f9383) ;
RUNTEST 1 TCK;
SDR 27 TDI (000fa702) TDO (000fa303) ;
RUNTEST 1 TCK;
SDR 27 TDI (000fab02) TDO (000fa703) ;
RUNTEST 1 TCK;
SDR 27 TDI (000faf02) TDO (000fab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (000fb002) TDO (000faf03) ;
RUNTEST 1 TCK;
SDR 27 TDI (000fc002) TDO (000fb003) ;
RUNTEST 1 TCK;
SDR 27 TDI (000fc482) TDO (000fc003) ;
RUNTEST 1 TCK;
SDR 27 TDI (000fc802) TDO (000fc483) ;
RUNTEST 1 TCK;
SDR 27 TDI (000fcc02) TDO (000fc803) ;
RUNTEST 1 TCK;
SDR 27 TDI (000fd002) TDO (000fcc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00100302) TDO (000fd003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00100702) TDO (00100303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00100b02) TDO (00100703) ;
RUNTEST 1 TCK;
SDR 27 TDI (00100f02) TDO (00100b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00101302) TDO (00100f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00102302) TDO (00101303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00102702) TDO (00102303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00102b02) TDO (00102703) ;
RUNTEST 1 TCK;
SDR 27 TDI (00102f02) TDO (00102b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00103002) TDO (00102f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00104002) TDO (00103003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00104402) TDO (00104003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00104802) TDO (00104403) ;
RUNTEST 1 TCK;
SDR 27 TDI (00104c02) TDO (00104803) ;
RUNTEST 1 TCK;
SDR 27 TDI (00105002) TDO (00104c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00108302) TDO (00105003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00108702) TDO (00108303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00108b02) TDO (00108703) ;
RUNTEST 1 TCK;
SDR 27 TDI (00108f02) TDO (00108b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00109302) TDO (00108f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0010a302) TDO (00109303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0010a702) TDO (0010a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0010ab82) TDO (0010a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0010af82) TDO (0010ab83) ;
RUNTEST 1 TCK;
SDR 27 TDI (0010b002) TDO (0010af83) ;
RUNTEST 1 TCK;
SDR 27 TDI (0010c082) TDO (0010b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0010c402) TDO (0010c083) ;
RUNTEST 1 TCK;
SDR 27 TDI (0010c802) TDO (0010c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0010cc02) TDO (0010c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0010d002) TDO (0010cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00110302) TDO (0010d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00110702) TDO (00110303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00110b02) TDO (00110703) ;
RUNTEST 1 TCK;
SDR 27 TDI (00110f02) TDO (00110b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00111302) TDO (00110f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00112302) TDO (00111303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00112702) TDO (00112303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00112b02) TDO (00112703) ;
RUNTEST 1 TCK;
SDR 27 TDI (00112f02) TDO (00112b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00113002) TDO (00112f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00114002) TDO (00113003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00114402) TDO (00114003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00114802) TDO (00114403) ;
RUNTEST 1 TCK;
SDR 27 TDI (00114c02) TDO (00114803) ;
RUNTEST 1 TCK;
SDR 27 TDI (00115002) TDO (00114c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00118202) TDO (00115003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00118642) TDO (00118203) ;
RUNTEST 1 TCK;
SDR 27 TDI (00118802) TDO (00118643) ;
RUNTEST 1 TCK;
SDR 27 TDI (00118d02) TDO (00118803) ;
RUNTEST 1 TCK;
SDR 27 TDI (00119202) TDO (00118d03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0011a302) TDO (00119203) ;
RUNTEST 1 TCK;
SDR 27 TDI (0011a602) TDO (0011a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0011ab22) TDO (0011a603) ;
RUNTEST 1 TCK;
SDR 27 TDI (0011ad22) TDO (0011ab23) ;
RUNTEST 1 TCK;
SDR 27 TDI (0011b0e2) TDO (0011ad23) ;
RUNTEST 1 TCK;
SDR 27 TDI (0011c022) TDO (0011b0e3) ;
RUNTEST 1 TCK;
SDR 27 TDI (0011c482) TDO (0011c023) ;
RUNTEST 1 TCK;
SDR 27 TDI (0011c802) TDO (0011c483) ;
RUNTEST 1 TCK;
SDR 27 TDI (0011cc02) TDO (0011c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0011d002) TDO (0011cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00120102) TDO (0011d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00120502) TDO (00120103) ;
RUNTEST 1 TCK;
SDR 27 TDI (00120b02) TDO (00120503) ;
RUNTEST 1 TCK;
SDR 27 TDI (00120e02) TDO (00120b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00121102) TDO (00120e03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00122002) TDO (00121103) ;
RUNTEST 1 TCK;
SDR 27 TDI (00122502) TDO (00122003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00122802) TDO (00122503) ;
RUNTEST 1 TCK;
SDR 27 TDI (00122e02) TDO (00122803) ;
RUNTEST 1 TCK;
SDR 27 TDI (00123002) TDO (00122e03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00124002) TDO (00123003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00124402) TDO (00124003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00124802) TDO (00124403) ;
RUNTEST 1 TCK;
SDR 27 TDI (00124c02) TDO (00124803) ;
RUNTEST 1 TCK;
SDR 27 TDI (00125002) TDO (00124c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00128102) TDO (00125003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00128502) TDO (00128103) ;
RUNTEST 1 TCK;
SDR 27 TDI (00128b02) TDO (00128503) ;
RUNTEST 1 TCK;
SDR 27 TDI (00128e02) TDO (00128b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00129102) TDO (00128e03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0012a002) TDO (00129103) ;
RUNTEST 1 TCK;
SDR 27 TDI (0012a502) TDO (0012a003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0012a802) TDO (0012a503) ;
RUNTEST 1 TCK;
SDR 27 TDI (0012ae02) TDO (0012a803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0012b002) TDO (0012ae03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0012c002) TDO (0012b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0012c402) TDO (0012c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0012c802) TDO (0012c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0012cc02) TDO (0012c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0012d002) TDO (0012cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00130302) TDO (0012d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00130702) TDO (00130303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00130b02) TDO (00130703) ;
RUNTEST 1 TCK;
SDR 27 TDI (00130f02) TDO (00130b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00131302) TDO (00130f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00132302) TDO (00131303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00132702) TDO (00132303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00132b02) TDO (00132703) ;
RUNTEST 1 TCK;
SDR 27 TDI (00132f02) TDO (00132b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00133002) TDO (00132f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00134002) TDO (00133003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00134402) TDO (00134003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00134802) TDO (00134403) ;
RUNTEST 1 TCK;
SDR 27 TDI (00134c02) TDO (00134803) ;
RUNTEST 1 TCK;
SDR 27 TDI (00135002) TDO (00134c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00138302) TDO (00135003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00138702) TDO (00138303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00138b02) TDO (00138703) ;
RUNTEST 1 TCK;
SDR 27 TDI (00138f02) TDO (00138b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00139302) TDO (00138f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0013a302) TDO (00139303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0013a702) TDO (0013a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0013ab02) TDO (0013a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0013af02) TDO (0013ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0013b002) TDO (0013af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0013c002) TDO (0013b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0013c402) TDO (0013c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0013c802) TDO (0013c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0013cc02) TDO (0013c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0013d002) TDO (0013cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00140102) TDO (0013d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00140502) TDO (00140103) ;
RUNTEST 1 TCK;
SDR 27 TDI (00140b02) TDO (00140503) ;
RUNTEST 1 TCK;
SDR 27 TDI (00140e02) TDO (00140b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00141102) TDO (00140e03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00142002) TDO (00141103) ;
RUNTEST 1 TCK;
SDR 27 TDI (00142502) TDO (00142003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00142802) TDO (00142503) ;
RUNTEST 1 TCK;
SDR 27 TDI (00142e02) TDO (00142803) ;
RUNTEST 1 TCK;
SDR 27 TDI (00143002) TDO (00142e03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00144002) TDO (00143003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00144402) TDO (00144003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00144802) TDO (00144403) ;
RUNTEST 1 TCK;
SDR 27 TDI (00144c02) TDO (00144803) ;
RUNTEST 1 TCK;
SDR 27 TDI (00145002) TDO (00144c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00148302) TDO (00145003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00148702) TDO (00148303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00148b02) TDO (00148703) ;
RUNTEST 1 TCK;
SDR 27 TDI (00148f02) TDO (00148b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00149302) TDO (00148f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0014a302) TDO (00149303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0014a702) TDO (0014a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0014ab02) TDO (0014a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0014af02) TDO (0014ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0014b002) TDO (0014af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0014c002) TDO (0014b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0014c402) TDO (0014c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0014c802) TDO (0014c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0014cc02) TDO (0014c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0014d002) TDO (0014cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00150302) TDO (0014d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00150702) TDO (00150303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00150b02) TDO (00150703) ;
RUNTEST 1 TCK;
SDR 27 TDI (00150f02) TDO (00150b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00151302) TDO (00150f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00152302) TDO (00151303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00152702) TDO (00152303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00152b02) TDO (00152703) ;
RUNTEST 1 TCK;
SDR 27 TDI (00152f02) TDO (00152b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00153002) TDO (00152f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00154002) TDO (00153003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00154402) TDO (00154003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00154802) TDO (00154403) ;
RUNTEST 1 TCK;
SDR 27 TDI (00154c02) TDO (00154803) ;
RUNTEST 1 TCK;
SDR 27 TDI (00155002) TDO (00154c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00158302) TDO (00155003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00158702) TDO (00158303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00158b02) TDO (00158703) ;
RUNTEST 1 TCK;
SDR 27 TDI (00158f02) TDO (00158b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00159302) TDO (00158f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0015a302) TDO (00159303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0015a702) TDO (0015a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0015ab02) TDO (0015a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0015af02) TDO (0015ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0015b002) TDO (0015af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0015c002) TDO (0015b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0015c402) TDO (0015c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0015c802) TDO (0015c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0015cc02) TDO (0015c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0015d002) TDO (0015cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00160252) TDO (0015d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00160602) TDO (00160253) ;
RUNTEST 1 TCK;
SDR 27 TDI (001608aa) TDO (00160603) ;
RUNTEST 1 TCK;
SDR 27 TDI (00160daa) TDO (001608ab) ;
RUNTEST 1 TCK;
SDR 27 TDI (001612aa) TDO (00160dab) ;
RUNTEST 1 TCK;
SDR 27 TDI (00162302) TDO (001612ab) ;
RUNTEST 1 TCK;
SDR 27 TDI (00162602) TDO (00162303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00162bf2) TDO (00162603) ;
RUNTEST 1 TCK;
SDR 27 TDI (00162df2) TDO (00162bf3) ;
RUNTEST 1 TCK;
SDR 27 TDI (00163002) TDO (00162df3) ;
RUNTEST 1 TCK;
SDR 27 TDI (001640a2) TDO (00163003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00164402) TDO (001640a3) ;
RUNTEST 1 TCK;
SDR 27 TDI (0016485a) TDO (00164403) ;
RUNTEST 1 TCK;
SDR 27 TDI (00164c52) TDO (0016485b) ;
RUNTEST 1 TCK;
SDR 27 TDI (00165052) TDO (00164c53) ;
RUNTEST 1 TCK;
SDR 27 TDI (00168102) TDO (00165053) ;
RUNTEST 1 TCK;
SDR 27 TDI (00168502) TDO (00168103) ;
RUNTEST 1 TCK;
SDR 27 TDI (00168b02) TDO (00168503) ;
RUNTEST 1 TCK;
SDR 27 TDI (00168e02) TDO (00168b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00169102) TDO (00168e03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0016a002) TDO (00169103) ;
RUNTEST 1 TCK;
SDR 27 TDI (0016a502) TDO (0016a003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0016a802) TDO (0016a503) ;
RUNTEST 1 TCK;
SDR 27 TDI (0016ae02) TDO (0016a803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0016b002) TDO (0016ae03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0016c002) TDO (0016b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0016c402) TDO (0016c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0016c802) TDO (0016c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0016cc02) TDO (0016c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0016d002) TDO (0016cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00170302) TDO (0016d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00170702) TDO (00170303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00170b02) TDO (00170703) ;
RUNTEST 1 TCK;
SDR 27 TDI (00170f02) TDO (00170b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00171302) TDO (00170f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00172302) TDO (00171303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00172702) TDO (00172303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00172b02) TDO (00172703) ;
RUNTEST 1 TCK;
SDR 27 TDI (00172f02) TDO (00172b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00173002) TDO (00172f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00174002) TDO (00173003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00174402) TDO (00174003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00174802) TDO (00174403) ;
RUNTEST 1 TCK;
SDR 27 TDI (00174c02) TDO (00174803) ;
RUNTEST 1 TCK;
SDR 27 TDI (00175002) TDO (00174c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00178302) TDO (00175003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00178702) TDO (00178303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00178b02) TDO (00178703) ;
RUNTEST 1 TCK;
SDR 27 TDI (00178f02) TDO (00178b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00179302) TDO (00178f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0017a302) TDO (00179303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0017a702) TDO (0017a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0017ab02) TDO (0017a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0017af02) TDO (0017ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0017b082) TDO (0017af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0017c002) TDO (0017b083) ;
RUNTEST 1 TCK;
SDR 27 TDI (0017c482) TDO (0017c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0017c842) TDO (0017c483) ;
RUNTEST 1 TCK;
SDR 27 TDI (0017cc42) TDO (0017c843) ;
RUNTEST 1 TCK;
SDR 27 TDI (0017d042) TDO (0017cc43) ;
RUNTEST 1 TCK;
SDR 27 TDI (00180302) TDO (0017d043) ;
RUNTEST 1 TCK;
SDR 27 TDI (00180702) TDO (00180303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00180b02) TDO (00180703) ;
RUNTEST 1 TCK;
SDR 27 TDI (00180f02) TDO (00180b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00181302) TDO (00180f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00182302) TDO (00181303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00182702) TDO (00182303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00182b02) TDO (00182703) ;
RUNTEST 1 TCK;
SDR 27 TDI (00182f02) TDO (00182b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00183002) TDO (00182f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00184002) TDO (00183003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00184402) TDO (00184003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00184802) TDO (00184403) ;
RUNTEST 1 TCK;
SDR 27 TDI (00184c02) TDO (00184803) ;
RUNTEST 1 TCK;
SDR 27 TDI (00185002) TDO (00184c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00188102) TDO (00185003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00188402) TDO (00188103) ;
RUNTEST 1 TCK;
SDR 27 TDI (00188a02) TDO (00188403) ;
RUNTEST 1 TCK;
SDR 27 TDI (00188e02) TDO (00188a03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00189002) TDO (00188e03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0018a002) TDO (00189003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0018a502) TDO (0018a003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0018a802) TDO (0018a503) ;
RUNTEST 1 TCK;
SDR 27 TDI (0018ae02) TDO (0018a803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0018b002) TDO (0018ae03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0018c002) TDO (0018b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0018c402) TDO (0018c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0018c802) TDO (0018c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0018cc02) TDO (0018c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0018d002) TDO (0018cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00190102) TDO (0018d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00190402) TDO (00190103) ;
RUNTEST 1 TCK;
SDR 27 TDI (00190802) TDO (00190403) ;
RUNTEST 1 TCK;
SDR 27 TDI (00190c02) TDO (00190803) ;
RUNTEST 1 TCK;
SDR 27 TDI (00191102) TDO (00190c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00192002) TDO (00191103) ;
RUNTEST 1 TCK;
SDR 27 TDI (00192402) TDO (00192003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00192802) TDO (00192403) ;
RUNTEST 1 TCK;
SDR 27 TDI (00192c02) TDO (00192803) ;
RUNTEST 1 TCK;
SDR 27 TDI (00193002) TDO (00192c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00194002) TDO (00193003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00194402) TDO (00194003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00194802) TDO (00194403) ;
RUNTEST 1 TCK;
SDR 27 TDI (00194c02) TDO (00194803) ;
RUNTEST 1 TCK;
SDR 27 TDI (00195002) TDO (00194c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00198102) TDO (00195003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00198502) TDO (00198103) ;
RUNTEST 1 TCK;
SDR 27 TDI (00198902) TDO (00198503) ;
RUNTEST 1 TCK;
SDR 27 TDI (00198c02) TDO (00198903) ;
RUNTEST 1 TCK;
SDR 27 TDI (00199102) TDO (00198c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0019a002) TDO (00199103) ;
RUNTEST 1 TCK;
SDR 27 TDI (0019a402) TDO (0019a003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0019a802) TDO (0019a403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0019ac02) TDO (0019a803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0019b002) TDO (0019ac03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0019c002) TDO (0019b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0019c402) TDO (0019c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0019c802) TDO (0019c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0019cc02) TDO (0019c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0019d002) TDO (0019cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (001a0102) TDO (0019d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (001a0502) TDO (001a0103) ;
RUNTEST 1 TCK;
SDR 27 TDI (001a0b02) TDO (001a0503) ;
RUNTEST 1 TCK;
SDR 27 TDI (001a0e02) TDO (001a0b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (001a1102) TDO (001a0e03) ;
RUNTEST 1 TCK;
SDR 27 TDI (001a2002) TDO (001a1103) ;
RUNTEST 1 TCK;
SDR 27 TDI (001a2502) TDO (001a2003) ;
RUNTEST 1 TCK;
SDR 27 TDI (001a2802) TDO (001a2503) ;
RUNTEST 1 TCK;
SDR 27 TDI (001a2e02) TDO (001a2803) ;
RUNTEST 1 TCK;
SDR 27 TDI (001a3002) TDO (001a2e03) ;
RUNTEST 1 TCK;
SDR 27 TDI (001a4002) TDO (001a3003) ;
RUNTEST 1 TCK;
SDR 27 TDI (001a4402) TDO (001a4003) ;
RUNTEST 1 TCK;
SDR 27 TDI (001a4802) TDO (001a4403) ;
RUNTEST 1 TCK;
SDR 27 TDI (001a4c02) TDO (001a4803) ;
RUNTEST 1 TCK;
SDR 27 TDI (001a5002) TDO (001a4c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (001a8102) TDO (001a5003) ;
RUNTEST 1 TCK;
SDR 27 TDI (001a8502) TDO (001a8103) ;
RUNTEST 1 TCK;
SDR 27 TDI (001a8b02) TDO (001a8503) ;
RUNTEST 1 TCK;
SDR 27 TDI (001a8e02) TDO (001a8b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (001a9102) TDO (001a8e03) ;
RUNTEST 1 TCK;
SDR 27 TDI (001aa002) TDO (001a9103) ;
RUNTEST 1 TCK;
SDR 27 TDI (001aa502) TDO (001aa003) ;
RUNTEST 1 TCK;
SDR 27 TDI (001aa802) TDO (001aa503) ;
RUNTEST 1 TCK;
SDR 27 TDI (001aae02) TDO (001aa803) ;
RUNTEST 1 TCK;
SDR 27 TDI (001ab002) TDO (001aae03) ;
RUNTEST 1 TCK;
SDR 27 TDI (001ac002) TDO (001ab003) ;
RUNTEST 1 TCK;
SDR 27 TDI (001ac402) TDO (001ac003) ;
RUNTEST 1 TCK;
SDR 27 TDI (001ac802) TDO (001ac403) ;
RUNTEST 1 TCK;
SDR 27 TDI (001acc02) TDO (001ac803) ;
RUNTEST 1 TCK;
SDR 27 TDI (001ad002) TDO (001acc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (001b0102) TDO (001ad003) ;
RUNTEST 1 TCK;
SDR 27 TDI (001b0502) TDO (001b0103) ;
RUNTEST 1 TCK;
SDR 27 TDI (001b0b02) TDO (001b0503) ;
RUNTEST 1 TCK;
SDR 27 TDI (001b0e02) TDO (001b0b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (001b1102) TDO (001b0e03) ;
RUNTEST 1 TCK;
SDR 27 TDI (001b2102) TDO (001b1103) ;
RUNTEST 1 TCK;
SDR 27 TDI (001b2502) TDO (001b2103) ;
RUNTEST 1 TCK;
SDR 27 TDI (001b2802) TDO (001b2503) ;
RUNTEST 1 TCK;
SDR 27 TDI (001b2e02) TDO (001b2803) ;
RUNTEST 1 TCK;
SDR 27 TDI (001b3002) TDO (001b2e03) ;
RUNTEST 1 TCK;
SDR 27 TDI (001b4002) TDO (001b3003) ;
RUNTEST 1 TCK;
SDR 27 TDI (001b4402) TDO (001b4003) ;
RUNTEST 1 TCK;
SDR 27 TDI (001b4802) TDO (001b4403) ;
RUNTEST 1 TCK;
SDR 27 TDI (001b4c02) TDO (001b4803) ;
RUNTEST 1 TCK;
SDR 27 TDI (001b5002) TDO (001b4c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (001b8202) TDO (001b5003) ;
RUNTEST 1 TCK;
SDR 27 TDI (001b8702) TDO (001b8203) ;
RUNTEST 1 TCK;
SDR 27 TDI (001b8b02) TDO (001b8703) ;
RUNTEST 1 TCK;
SDR 27 TDI (001b8f02) TDO (001b8b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (001b9302) TDO (001b8f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (001ba302) TDO (001b9303) ;
RUNTEST 1 TCK;
SDR 27 TDI (001ba702) TDO (001ba303) ;
RUNTEST 1 TCK;
SDR 27 TDI (001bab02) TDO (001ba703) ;
RUNTEST 1 TCK;
SDR 27 TDI (001baf02) TDO (001bab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (001bb002) TDO (001baf03) ;
RUNTEST 1 TCK;
SDR 27 TDI (001bc002) TDO (001bb003) ;
RUNTEST 1 TCK;
SDR 27 TDI (001bc402) TDO (001bc003) ;
RUNTEST 1 TCK;
SDR 27 TDI (001bc802) TDO (001bc403) ;
RUNTEST 1 TCK;
SDR 27 TDI (001bcc02) TDO (001bc803) ;
RUNTEST 1 TCK;
SDR 27 TDI (001bd002) TDO (001bcc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (001c0302) TDO (001bd003) ;
RUNTEST 1 TCK;
SDR 27 TDI (001c0702) TDO (001c0303) ;
RUNTEST 1 TCK;
SDR 27 TDI (001c0a02) TDO (001c0703) ;
RUNTEST 1 TCK;
SDR 27 TDI (001c0f02) TDO (001c0a03) ;
RUNTEST 1 TCK;
SDR 27 TDI (001c1302) TDO (001c0f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (001c2102) TDO (001c1303) ;
RUNTEST 1 TCK;
SDR 27 TDI (001c2702) TDO (001c2103) ;
RUNTEST 1 TCK;
SDR 27 TDI (001c2b02) TDO (001c2703) ;
RUNTEST 1 TCK;
SDR 27 TDI (001c2f02) TDO (001c2b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (001c3002) TDO (001c2f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (001c4002) TDO (001c3003) ;
RUNTEST 1 TCK;
SDR 27 TDI (001c4402) TDO (001c4003) ;
RUNTEST 1 TCK;
SDR 27 TDI (001c4802) TDO (001c4403) ;
RUNTEST 1 TCK;
SDR 27 TDI (001c4c02) TDO (001c4803) ;
RUNTEST 1 TCK;
SDR 27 TDI (001c5002) TDO (001c4c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (001c8002) TDO (001c5003) ;
RUNTEST 1 TCK;
SDR 27 TDI (001c8602) TDO (001c8003) ;
RUNTEST 1 TCK;
SDR 27 TDI (001c8a02) TDO (001c8603) ;
RUNTEST 1 TCK;
SDR 27 TDI (001c8d02) TDO (001c8a03) ;
RUNTEST 1 TCK;
SDR 27 TDI (001c9102) TDO (001c8d03) ;
RUNTEST 1 TCK;
SDR 27 TDI (001ca302) TDO (001c9103) ;
RUNTEST 1 TCK;
SDR 27 TDI (001ca702) TDO (001ca303) ;
RUNTEST 1 TCK;
SDR 27 TDI (001caa02) TDO (001ca703) ;
RUNTEST 1 TCK;
SDR 27 TDI (001caf02) TDO (001caa03) ;
RUNTEST 1 TCK;
SDR 27 TDI (001cb002) TDO (001caf03) ;
RUNTEST 1 TCK;
SDR 27 TDI (001cc002) TDO (001cb003) ;
RUNTEST 1 TCK;
SDR 27 TDI (001cc402) TDO (001cc003) ;
RUNTEST 1 TCK;
SDR 27 TDI (001cc802) TDO (001cc403) ;
RUNTEST 1 TCK;
SDR 27 TDI (001ccc02) TDO (001cc803) ;
RUNTEST 1 TCK;
SDR 27 TDI (001cd002) TDO (001ccc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (001d0302) TDO (001cd003) ;
RUNTEST 1 TCK;
SDR 27 TDI (001d0702) TDO (001d0303) ;
RUNTEST 1 TCK;
SDR 27 TDI (001d0a02) TDO (001d0703) ;
RUNTEST 1 TCK;
SDR 27 TDI (001d0f02) TDO (001d0a03) ;
RUNTEST 1 TCK;
SDR 27 TDI (001d1302) TDO (001d0f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (001d2302) TDO (001d1303) ;
RUNTEST 1 TCK;
SDR 27 TDI (001d2702) TDO (001d2303) ;
RUNTEST 1 TCK;
SDR 27 TDI (001d2a02) TDO (001d2703) ;
RUNTEST 1 TCK;
SDR 27 TDI (001d2e02) TDO (001d2a03) ;
RUNTEST 1 TCK;
SDR 27 TDI (001d3002) TDO (001d2e03) ;
RUNTEST 1 TCK;
SDR 27 TDI (001d4002) TDO (001d3003) ;
RUNTEST 1 TCK;
SDR 27 TDI (001d4402) TDO (001d4003) ;
RUNTEST 1 TCK;
SDR 27 TDI (001d4802) TDO (001d4403) ;
RUNTEST 1 TCK;
SDR 27 TDI (001d4c02) TDO (001d4803) ;
RUNTEST 1 TCK;
SDR 27 TDI (001d5002) TDO (001d4c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (001d8202) TDO (001d5003) ;
RUNTEST 1 TCK;
SDR 27 TDI (001d8702) TDO (001d8203) ;
RUNTEST 1 TCK;
SDR 27 TDI (001d8b02) TDO (001d8703) ;
RUNTEST 1 TCK;
SDR 27 TDI (001d8e02) TDO (001d8b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (001d9102) TDO (001d8e03) ;
RUNTEST 1 TCK;
SDR 27 TDI (001da302) TDO (001d9103) ;
RUNTEST 1 TCK;
SDR 27 TDI (001da602) TDO (001da303) ;
RUNTEST 1 TCK;
SDR 27 TDI (001daa02) TDO (001da603) ;
RUNTEST 1 TCK;
SDR 27 TDI (001daf02) TDO (001daa03) ;
RUNTEST 1 TCK;
SDR 27 TDI (001db002) TDO (001daf03) ;
RUNTEST 1 TCK;
SDR 27 TDI (001dc002) TDO (001db003) ;
RUNTEST 1 TCK;
SDR 27 TDI (001dc402) TDO (001dc003) ;
RUNTEST 1 TCK;
SDR 27 TDI (001dc802) TDO (001dc403) ;
RUNTEST 1 TCK;
SDR 27 TDI (001dcc02) TDO (001dc803) ;
RUNTEST 1 TCK;
SDR 27 TDI (001dd002) TDO (001dcc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (001e0302) TDO (001dd003) ;
RUNTEST 1 TCK;
SDR 27 TDI (001e0702) TDO (001e0303) ;
RUNTEST 1 TCK;
SDR 27 TDI (001e0a02) TDO (001e0703) ;
RUNTEST 1 TCK;
SDR 27 TDI (001e0f02) TDO (001e0a03) ;
RUNTEST 1 TCK;
SDR 27 TDI (001e1102) TDO (001e0f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (001e2302) TDO (001e1103) ;
RUNTEST 1 TCK;
SDR 27 TDI (001e2602) TDO (001e2303) ;
RUNTEST 1 TCK;
SDR 27 TDI (001e2a02) TDO (001e2603) ;
RUNTEST 1 TCK;
SDR 27 TDI (001e2f02) TDO (001e2a03) ;
RUNTEST 1 TCK;
SDR 27 TDI (001e3002) TDO (001e2f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (001e4002) TDO (001e3003) ;
RUNTEST 1 TCK;
SDR 27 TDI (001e4402) TDO (001e4003) ;
RUNTEST 1 TCK;
SDR 27 TDI (001e4802) TDO (001e4403) ;
RUNTEST 1 TCK;
SDR 27 TDI (001e4c02) TDO (001e4803) ;
RUNTEST 1 TCK;
SDR 27 TDI (001e5002) TDO (001e4c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (001e8302) TDO (001e5003) ;
RUNTEST 1 TCK;
SDR 27 TDI (001e8702) TDO (001e8303) ;
RUNTEST 1 TCK;
SDR 27 TDI (001e8b02) TDO (001e8703) ;
RUNTEST 1 TCK;
SDR 27 TDI (001e8f02) TDO (001e8b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (001e9302) TDO (001e8f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (001ea302) TDO (001e9303) ;
RUNTEST 1 TCK;
SDR 27 TDI (001ea702) TDO (001ea303) ;
RUNTEST 1 TCK;
SDR 27 TDI (001eab02) TDO (001ea703) ;
RUNTEST 1 TCK;
SDR 27 TDI (001eaf02) TDO (001eab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (001eb002) TDO (001eaf03) ;
RUNTEST 1 TCK;
SDR 27 TDI (001ec002) TDO (001eb003) ;
RUNTEST 1 TCK;
SDR 27 TDI (001ec402) TDO (001ec003) ;
RUNTEST 1 TCK;
SDR 27 TDI (001ec802) TDO (001ec403) ;
RUNTEST 1 TCK;
SDR 27 TDI (001ecc02) TDO (001ec803) ;
RUNTEST 1 TCK;
SDR 27 TDI (001ed002) TDO (001ecc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (001f0302) TDO (001ed003) ;
RUNTEST 1 TCK;
SDR 27 TDI (001f0702) TDO (001f0303) ;
RUNTEST 1 TCK;
SDR 27 TDI (001f0b02) TDO (001f0703) ;
RUNTEST 1 TCK;
SDR 27 TDI (001f0f02) TDO (001f0b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (001f1102) TDO (001f0f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (001f2302) TDO (001f1103) ;
RUNTEST 1 TCK;
SDR 27 TDI (001f2602) TDO (001f2303) ;
RUNTEST 1 TCK;
SDR 27 TDI (001f2a02) TDO (001f2603) ;
RUNTEST 1 TCK;
SDR 27 TDI (001f2f02) TDO (001f2a03) ;
RUNTEST 1 TCK;
SDR 27 TDI (001f3002) TDO (001f2f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (001f4002) TDO (001f3003) ;
RUNTEST 1 TCK;
SDR 27 TDI (001f4402) TDO (001f4003) ;
RUNTEST 1 TCK;
SDR 27 TDI (001f4802) TDO (001f4403) ;
RUNTEST 1 TCK;
SDR 27 TDI (001f4c02) TDO (001f4803) ;
RUNTEST 1 TCK;
SDR 27 TDI (001f5002) TDO (001f4c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (001f8302) TDO (001f5003) ;
RUNTEST 1 TCK;
SDR 27 TDI (001f8702) TDO (001f8303) ;
RUNTEST 1 TCK;
SDR 27 TDI (001f8b02) TDO (001f8703) ;
RUNTEST 1 TCK;
SDR 27 TDI (001f8f02) TDO (001f8b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (001f9302) TDO (001f8f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (001fa302) TDO (001f9303) ;
RUNTEST 1 TCK;
SDR 27 TDI (001fa702) TDO (001fa303) ;
RUNTEST 1 TCK;
SDR 27 TDI (001fab02) TDO (001fa703) ;
RUNTEST 1 TCK;
SDR 27 TDI (001faf02) TDO (001fab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (001fb002) TDO (001faf03) ;
RUNTEST 1 TCK;
SDR 27 TDI (001fc002) TDO (001fb003) ;
RUNTEST 1 TCK;
SDR 27 TDI (001fc402) TDO (001fc003) ;
RUNTEST 1 TCK;
SDR 27 TDI (001fc802) TDO (001fc403) ;
RUNTEST 1 TCK;
SDR 27 TDI (001fcc02) TDO (001fc803) ;
RUNTEST 1 TCK;
SDR 27 TDI (001fd002) TDO (001fcc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00200302) TDO (001fd003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00200702) TDO (00200303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00200b02) TDO (00200703) ;
RUNTEST 1 TCK;
SDR 27 TDI (00200f02) TDO (00200b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00201302) TDO (00200f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00202302) TDO (00201303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00202702) TDO (00202303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00202b02) TDO (00202703) ;
RUNTEST 1 TCK;
SDR 27 TDI (00202f02) TDO (00202b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00203002) TDO (00202f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00204002) TDO (00203003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00204402) TDO (00204003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00204802) TDO (00204403) ;
RUNTEST 1 TCK;
SDR 27 TDI (00204c02) TDO (00204803) ;
RUNTEST 1 TCK;
SDR 27 TDI (00205002) TDO (00204c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00208302) TDO (00205003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00208702) TDO (00208303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00208b02) TDO (00208703) ;
RUNTEST 1 TCK;
SDR 27 TDI (00208f02) TDO (00208b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00209302) TDO (00208f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0020a302) TDO (00209303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0020a702) TDO (0020a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0020ab02) TDO (0020a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0020af02) TDO (0020ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0020b002) TDO (0020af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0020c002) TDO (0020b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0020c402) TDO (0020c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0020c802) TDO (0020c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0020cc02) TDO (0020c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0020d002) TDO (0020cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00210302) TDO (0020d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00210702) TDO (00210303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00210b02) TDO (00210703) ;
RUNTEST 1 TCK;
SDR 27 TDI (00210f02) TDO (00210b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00211302) TDO (00210f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00212302) TDO (00211303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00212702) TDO (00212303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00212b02) TDO (00212703) ;
RUNTEST 1 TCK;
SDR 27 TDI (00212f02) TDO (00212b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00213002) TDO (00212f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00214002) TDO (00213003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00214402) TDO (00214003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00214802) TDO (00214403) ;
RUNTEST 1 TCK;
SDR 27 TDI (00214c02) TDO (00214803) ;
RUNTEST 1 TCK;
SDR 27 TDI (00215002) TDO (00214c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00218202) TDO (00215003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00218602) TDO (00218203) ;
RUNTEST 1 TCK;
SDR 27 TDI (00218b02) TDO (00218603) ;
RUNTEST 1 TCK;
SDR 27 TDI (00218f02) TDO (00218b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00219302) TDO (00218f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0021a302) TDO (00219303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0021a702) TDO (0021a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0021ab02) TDO (0021a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0021af02) TDO (0021ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0021b002) TDO (0021af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0021c002) TDO (0021b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0021c402) TDO (0021c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0021c802) TDO (0021c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0021cc02) TDO (0021c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0021d002) TDO (0021cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00220302) TDO (0021d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00220702) TDO (00220303) MASK (07fffeff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00220b02) TDO (00220703) MASK (07ffffff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00220f02) TDO (00220b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00221302) TDO (00220f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00222302) TDO (00221303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00222602) TDO (00222303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00222b02) TDO (00222603) ;
RUNTEST 1 TCK;
SDR 27 TDI (00222f02) TDO (00222b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00223002) TDO (00222f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00224002) TDO (00223003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00224402) TDO (00224003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00224802) TDO (00224403) ;
RUNTEST 1 TCK;
SDR 27 TDI (00224c02) TDO (00224803) ;
RUNTEST 1 TCK;
SDR 27 TDI (00225002) TDO (00224c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00228302) TDO (00225003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00228702) TDO (00228303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00228b02) TDO (00228703) ;
RUNTEST 1 TCK;
SDR 27 TDI (00228f02) TDO (00228b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00229302) TDO (00228f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0022a302) TDO (00229303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0022a702) TDO (0022a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0022ab02) TDO (0022a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0022af02) TDO (0022ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0022b002) TDO (0022af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0022c002) TDO (0022b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0022c402) TDO (0022c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0022c802) TDO (0022c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0022cc02) TDO (0022c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0022d002) TDO (0022cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00230302) TDO (0022d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00230702) TDO (00230303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00230b02) TDO (00230703) ;
RUNTEST 1 TCK;
SDR 27 TDI (00230f02) TDO (00230b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00231302) TDO (00230f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00232302) TDO (00231303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00232702) TDO (00232303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00232b02) TDO (00232703) ;
RUNTEST 1 TCK;
SDR 27 TDI (00232f02) TDO (00232b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00233002) TDO (00232f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00234002) TDO (00233003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00234402) TDO (00234003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00234802) TDO (00234403) ;
RUNTEST 1 TCK;
SDR 27 TDI (00234c02) TDO (00234803) ;
RUNTEST 1 TCK;
SDR 27 TDI (00235002) TDO (00234c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00238302) TDO (00235003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00238702) TDO (00238303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00238b02) TDO (00238703) ;
RUNTEST 1 TCK;
SDR 27 TDI (00238f02) TDO (00238b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00239302) TDO (00238f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0023a302) TDO (00239303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0023a702) TDO (0023a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0023ab02) TDO (0023a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0023af02) TDO (0023ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0023b002) TDO (0023af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0023c002) TDO (0023b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0023c402) TDO (0023c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0023c802) TDO (0023c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0023cc02) TDO (0023c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0023d002) TDO (0023cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (004003fa) TDO (0023d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (004005fe) TDO (004003fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (004009fe) TDO (004005ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00400dfe) TDO (004009ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004011fe) TDO (00400dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004023fa) TDO (004011ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004025fe) TDO (004023fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (004029fe) TDO (004025ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00402dfe) TDO (004029ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004031fe) TDO (00402dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004043fa) TDO (004031ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004045fe) TDO (004043fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (004049fe) TDO (004045ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00404dfe) TDO (004049ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004051fe) TDO (00404dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004063fa) TDO (004051ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004065fe) TDO (004063fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (004069fe) TDO (004065ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00406dfe) TDO (004069ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004071fe) TDO (00406dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004083fa) TDO (004071ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004085fe) TDO (004083fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (004089fe) TDO (004085ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00408dfe) TDO (004089ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004091fe) TDO (00408dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0040a3fa) TDO (004091ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0040a5fe) TDO (0040a3fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (0040a9fe) TDO (0040a5ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0040adfe) TDO (0040a9ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0040b1fe) TDO (0040adff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0040c3fa) TDO (0040b1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0040c5fe) TDO (0040c3fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (0040c9fe) TDO (0040c5ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0040cdfe) TDO (0040c9ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0040d1fe) TDO (0040cdff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0040e3fa) TDO (0040d1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0040e5fe) TDO (0040e3fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (0040e9fe) TDO (0040e5ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0040edfe) TDO (0040e9ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0040f1fe) TDO (0040edff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004103fa) TDO (0040f1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004105fe) TDO (004103fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (004109fe) TDO (004105ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00410dfe) TDO (004109ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004111fe) TDO (00410dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004123fa) TDO (004111ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004125fe) TDO (004123fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (004129fe) TDO (004125ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00412dfe) TDO (004129ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004131fe) TDO (00412dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004143fa) TDO (004131ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004145fe) TDO (004143fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (004149fe) TDO (004145ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00414dfe) TDO (004149ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004151fe) TDO (00414dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004163fa) TDO (004151ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004165fe) TDO (004163fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (004169fe) TDO (004165ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00416dfe) TDO (004169ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004171fe) TDO (00416dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004183fa) TDO (004171ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004185fe) TDO (004183fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (004189fe) TDO (004185ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00418dfe) TDO (004189ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004191fe) TDO (00418dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0041a3fa) TDO (004191ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0041a5fe) TDO (0041a3fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (0041a9fe) TDO (0041a5ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0041adfe) TDO (0041a9ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0041b1fe) TDO (0041adff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0041c3fa) TDO (0041b1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0041c5fe) TDO (0041c3fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (0041c9fe) TDO (0041c5ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0041cdfe) TDO (0041c9ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0041d1fe) TDO (0041cdff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0041e3fa) TDO (0041d1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0041e5fe) TDO (0041e3fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (0041e9fe) TDO (0041e5ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0041edfe) TDO (0041e9ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0041f1fe) TDO (0041edff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004203fa) TDO (0041f1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004205fe) TDO (004203fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (004209fe) TDO (004205ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00420dfe) TDO (004209ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004211fe) TDO (00420dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004223fa) TDO (004211ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004225fe) TDO (004223fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (004229fe) TDO (004225ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00422dfe) TDO (004229ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004231fe) TDO (00422dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004403fa) TDO (004231ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004405fe) TDO (004403fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (004409fe) TDO (004405ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00440dfe) TDO (004409ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004411fe) TDO (00440dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004423fa) TDO (004411ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004425fe) TDO (004423fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (004429fe) TDO (004425ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00442dfe) TDO (004429ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004431fe) TDO (00442dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004443fa) TDO (004431ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004445fe) TDO (004443fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (004449fe) TDO (004445ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00444dfe) TDO (004449ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004451fe) TDO (00444dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004463fa) TDO (004451ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004465fe) TDO (004463fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (004469fe) TDO (004465ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00446dfe) TDO (004469ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004471fe) TDO (00446dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004483fa) TDO (004471ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004485fe) TDO (004483fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (004489fe) TDO (004485ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00448dfe) TDO (004489ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004491fe) TDO (00448dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0044a3fa) TDO (004491ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0044a5fe) TDO (0044a3fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (0044a9fe) TDO (0044a5ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0044adfe) TDO (0044a9ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0044b1fe) TDO (0044adff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0044c3fa) TDO (0044b1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0044c5fe) TDO (0044c3fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (0044c9fe) TDO (0044c5ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0044cdfe) TDO (0044c9ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0044d1fe) TDO (0044cdff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0044e3fa) TDO (0044d1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0044e5fe) TDO (0044e3fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (0044e9fe) TDO (0044e5ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0044edfe) TDO (0044e9ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0044f1fe) TDO (0044edff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004503fa) TDO (0044f1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004505fe) TDO (004503fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (004509fe) TDO (004505ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00450dfe) TDO (004509ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004511fe) TDO (00450dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004523fa) TDO (004511ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004525fe) TDO (004523fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (004529fe) TDO (004525ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00452dfe) TDO (004529ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004531fe) TDO (00452dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004543fa) TDO (004531ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004545fe) TDO (004543fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (004549fe) TDO (004545ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00454dfe) TDO (004549ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004551fe) TDO (00454dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004563fa) TDO (004551ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004565fe) TDO (004563fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (004569fe) TDO (004565ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00456dfe) TDO (004569ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004571fe) TDO (00456dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004583fa) TDO (004571ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004585fe) TDO (004583fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (004589fe) TDO (004585ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00458dfe) TDO (004589ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004591fe) TDO (00458dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0045a3fa) TDO (004591ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0045a5fe) TDO (0045a3fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (0045a9fe) TDO (0045a5ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0045adfe) TDO (0045a9ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0045b1fe) TDO (0045adff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0045c3fa) TDO (0045b1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0045c5fe) TDO (0045c3fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (0045c9fe) TDO (0045c5ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0045cdfe) TDO (0045c9ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0045d1fe) TDO (0045cdff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0045e3fa) TDO (0045d1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0045e5fe) TDO (0045e3fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (0045e9fe) TDO (0045e5ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0045edfe) TDO (0045e9ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0045f1fe) TDO (0045edff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004603fa) TDO (0045f1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004605fe) TDO (004603fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (004609fe) TDO (004605ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00460dfe) TDO (004609ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004611fe) TDO (00460dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004623fa) TDO (004611ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004625fe) TDO (004623fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (004629fe) TDO (004625ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00462dfe) TDO (004629ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004631fe) TDO (00462dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004803fa) TDO (004631ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004805fe) TDO (004803fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (004809fe) TDO (004805ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00480dfe) TDO (004809ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004811fe) TDO (00480dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004823fa) TDO (004811ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004825fe) TDO (004823fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (004829fe) TDO (004825ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00482dfe) TDO (004829ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004831fe) TDO (00482dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004843fa) TDO (004831ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004845fe) TDO (004843fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (004849fe) TDO (004845ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00484dfe) TDO (004849ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004851fe) TDO (00484dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004863fa) TDO (004851ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004865fe) TDO (004863fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (004869fe) TDO (004865ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00486dfe) TDO (004869ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004871fe) TDO (00486dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004883fa) TDO (004871ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004885fe) TDO (004883fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (004889fe) TDO (004885ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00488dfe) TDO (004889ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004891fe) TDO (00488dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0048a3fa) TDO (004891ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0048a5fe) TDO (0048a3fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (0048a9fe) TDO (0048a5ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0048adfe) TDO (0048a9ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0048b1fe) TDO (0048adff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0048c3fa) TDO (0048b1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0048c5fe) TDO (0048c3fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (0048c9fe) TDO (0048c5ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0048cdfe) TDO (0048c9ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0048d1fe) TDO (0048cdff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0048e3fa) TDO (0048d1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0048e5fe) TDO (0048e3fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (0048e9fe) TDO (0048e5ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0048edfe) TDO (0048e9ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0048f1fe) TDO (0048edff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004903fa) TDO (0048f1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004905fe) TDO (004903fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (004909fe) TDO (004905ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00490dfe) TDO (004909ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004911fe) TDO (00490dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004923fa) TDO (004911ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004925fe) TDO (004923fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (004929fe) TDO (004925ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00492dfe) TDO (004929ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004931fe) TDO (00492dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004943fa) TDO (004931ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004945fe) TDO (004943fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (004949fe) TDO (004945ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00494dfe) TDO (004949ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004951fe) TDO (00494dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004963fa) TDO (004951ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004965fe) TDO (004963fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (004969fe) TDO (004965ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00496dfe) TDO (004969ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004971fe) TDO (00496dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004983fa) TDO (004971ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004985fe) TDO (004983fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (004989fe) TDO (004985ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00498dfe) TDO (004989ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004991fe) TDO (00498dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0049a3fa) TDO (004991ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0049a5fe) TDO (0049a3fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (0049a9fe) TDO (0049a5ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0049adfe) TDO (0049a9ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0049b1fe) TDO (0049adff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0049c3fa) TDO (0049b1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0049c5fe) TDO (0049c3fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (0049c9fe) TDO (0049c5ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0049cdfe) TDO (0049c9ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0049d1fe) TDO (0049cdff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0049e3fa) TDO (0049d1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0049e5fe) TDO (0049e3fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (0049e9fe) TDO (0049e5ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0049edfe) TDO (0049e9ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0049f1fe) TDO (0049edff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004a03fa) TDO (0049f1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004a05fe) TDO (004a03fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (004a09fe) TDO (004a05ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004a0dfe) TDO (004a09ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004a11fe) TDO (004a0dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004a23fa) TDO (004a11ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004a25fe) TDO (004a23fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (004a29fe) TDO (004a25ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004a2dfe) TDO (004a29ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004a31fe) TDO (004a2dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004c03fa) TDO (004a31ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004c05fe) TDO (004c03fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (004c09fe) TDO (004c05ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004c0dfe) TDO (004c09ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004c11fe) TDO (004c0dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004c23fa) TDO (004c11ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004c25fe) TDO (004c23fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (004c29fe) TDO (004c25ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004c2dfe) TDO (004c29ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004c31fe) TDO (004c2dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004c43fa) TDO (004c31ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004c45fe) TDO (004c43fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (004c49fe) TDO (004c45ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004c4dfe) TDO (004c49ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004c51fe) TDO (004c4dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004c63fa) TDO (004c51ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004c65fe) TDO (004c63fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (004c69fe) TDO (004c65ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004c6dfe) TDO (004c69ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004c71fe) TDO (004c6dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004c83fa) TDO (004c71ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004c85fe) TDO (004c83fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (004c89fe) TDO (004c85ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004c8dfe) TDO (004c89ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004c91fe) TDO (004c8dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004ca3fa) TDO (004c91ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004ca5fe) TDO (004ca3fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (004ca9fe) TDO (004ca5ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004cadfe) TDO (004ca9ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004cb1fe) TDO (004cadff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004cc3fa) TDO (004cb1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004cc5fe) TDO (004cc3fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (004cc9fe) TDO (004cc5ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004ccdfe) TDO (004cc9ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004cd1fe) TDO (004ccdff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004ce3fa) TDO (004cd1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004ce5fe) TDO (004ce3fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (004ce9fe) TDO (004ce5ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004cedfe) TDO (004ce9ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004cf1fe) TDO (004cedff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004d03fa) TDO (004cf1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004d05fe) TDO (004d03fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (004d09fe) TDO (004d05ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004d0dfe) TDO (004d09ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004d11fe) TDO (004d0dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004d23fa) TDO (004d11ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004d25fe) TDO (004d23fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (004d29fe) TDO (004d25ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004d2dfe) TDO (004d29ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004d31fe) TDO (004d2dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004d43fa) TDO (004d31ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004d45fe) TDO (004d43fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (004d49fe) TDO (004d45ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004d4dfe) TDO (004d49ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004d51fe) TDO (004d4dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004d63fa) TDO (004d51ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004d65fe) TDO (004d63fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (004d69fe) TDO (004d65ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004d6dfe) TDO (004d69ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004d71fe) TDO (004d6dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004d83fa) TDO (004d71ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004d85fe) TDO (004d83fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (004d89fe) TDO (004d85ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004d8dfe) TDO (004d89ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004d91fe) TDO (004d8dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004da3fa) TDO (004d91ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004da5fe) TDO (004da3fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (004da9fe) TDO (004da5ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004dadfe) TDO (004da9ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004db1fe) TDO (004dadff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004dc3fa) TDO (004db1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004dc5fe) TDO (004dc3fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (004dc9fe) TDO (004dc5ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004dcdfe) TDO (004dc9ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004dd1fe) TDO (004dcdff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004de3fa) TDO (004dd1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004de5fe) TDO (004de3fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (004de9fe) TDO (004de5ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004dedfe) TDO (004de9ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004df1fe) TDO (004dedff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004e03fa) TDO (004df1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004e05fe) TDO (004e03fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (004e09fe) TDO (004e05ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004e0dfe) TDO (004e09ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004e11fe) TDO (004e0dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004e23fa) TDO (004e11ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004e25fe) TDO (004e23fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (004e29fe) TDO (004e25ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004e2dfe) TDO (004e29ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (004e31fe) TDO (004e2dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (005003fa) TDO (004e31ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (005005fe) TDO (005003fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (005009fe) TDO (005005ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00500dfe) TDO (005009ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (005011fe) TDO (00500dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (005023fa) TDO (005011ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (005025fe) TDO (005023fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (005029fe) TDO (005025ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00502dfe) TDO (005029ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (005031fe) TDO (00502dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (005043fa) TDO (005031ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (005045fe) TDO (005043fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (005049fe) TDO (005045ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00504dfe) TDO (005049ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (005051fe) TDO (00504dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (005063fa) TDO (005051ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (005065fe) TDO (005063fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (005069fe) TDO (005065ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00506dfe) TDO (005069ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (005071fe) TDO (00506dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (005083fa) TDO (005071ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (005085fe) TDO (005083fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (005089fe) TDO (005085ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00508dfe) TDO (005089ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (005091fe) TDO (00508dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0050a3fa) TDO (005091ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0050a5fe) TDO (0050a3fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (0050a9fe) TDO (0050a5ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0050adfe) TDO (0050a9ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0050b1fe) TDO (0050adff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0050c3fa) TDO (0050b1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0050c5fe) TDO (0050c3fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (0050c9fe) TDO (0050c5ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0050cdfe) TDO (0050c9ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0050d1fe) TDO (0050cdff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0050e3fa) TDO (0050d1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0050e5fe) TDO (0050e3fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (0050e9fe) TDO (0050e5ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0050edfe) TDO (0050e9ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0050f1fe) TDO (0050edff) ;
RUNTEST 1 TCK;
SDR 27 TDI (005103fa) TDO (0050f1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (005105fe) TDO (005103fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (005109fe) TDO (005105ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00510dfe) TDO (005109ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (005111fe) TDO (00510dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (005123fa) TDO (005111ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (005125fe) TDO (005123fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (005129fe) TDO (005125ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00512dfe) TDO (005129ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (005131fe) TDO (00512dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (005143fa) TDO (005131ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (005145fe) TDO (005143fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (005149fe) TDO (005145ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00514dfe) TDO (005149ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (005151fe) TDO (00514dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (005163fe) TDO (005151ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (005165fe) TDO (005163ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (005169fe) TDO (005165ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00516dfe) TDO (005169ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (005171fe) TDO (00516dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (005183fa) TDO (005171ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (005185fe) TDO (005183fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (005189fe) TDO (005185ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00518dfe) TDO (005189ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (005191fe) TDO (00518dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0051a3fa) TDO (005191ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0051a5fe) TDO (0051a3fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (0051a9fe) TDO (0051a5ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0051adfe) TDO (0051a9ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0051b1fe) TDO (0051adff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0051c3fa) TDO (0051b1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0051c5fe) TDO (0051c3fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (0051c9fe) TDO (0051c5ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0051cdfe) TDO (0051c9ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0051d1fe) TDO (0051cdff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0051e3fa) TDO (0051d1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0051e5fe) TDO (0051e3fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (0051e9fe) TDO (0051e5ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0051edfe) TDO (0051e9ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0051f1fe) TDO (0051edff) ;
RUNTEST 1 TCK;
SDR 27 TDI (005203fa) TDO (0051f1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (005205fe) TDO (005203fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (005209fe) TDO (005205ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00520dfe) TDO (005209ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (005211fe) TDO (00520dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (005223fa) TDO (005211ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (005225fe) TDO (005223fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (005229fe) TDO (005225ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00522dfe) TDO (005229ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (005231fe) TDO (00522dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (005403fa) TDO (005231ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (005405fe) TDO (005403fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (005409fe) TDO (005405ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00540dfe) TDO (005409ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (005411fe) TDO (00540dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (005423fa) TDO (005411ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (005425fe) TDO (005423fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (005429fe) TDO (005425ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00542dfe) TDO (005429ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (005431fe) TDO (00542dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (005443fa) TDO (005431ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (005445fe) TDO (005443fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (005449fe) TDO (005445ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00544dfe) TDO (005449ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (005451fe) TDO (00544dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (005463fa) TDO (005451ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (005465fe) TDO (005463fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (005469fe) TDO (005465ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00546dfe) TDO (005469ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (005471fe) TDO (00546dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (005483fa) TDO (005471ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (005485fe) TDO (005483fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (005489fe) TDO (005485ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00548dfe) TDO (005489ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (005491fe) TDO (00548dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0054a3fa) TDO (005491ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0054a5fe) TDO (0054a3fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (0054a9fe) TDO (0054a5ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0054adfe) TDO (0054a9ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0054b1fe) TDO (0054adff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0054c3fa) TDO (0054b1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0054c5fe) TDO (0054c3fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (0054c9fe) TDO (0054c5ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0054cdfe) TDO (0054c9ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0054d1fe) TDO (0054cdff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0054e3fa) TDO (0054d1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0054e5fe) TDO (0054e3fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (0054e9fe) TDO (0054e5ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0054edfe) TDO (0054e9ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0054f1fe) TDO (0054edff) ;
RUNTEST 1 TCK;
SDR 27 TDI (005503fa) TDO (0054f1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (005505fe) TDO (005503fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (005509fe) TDO (005505ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00550dfe) TDO (005509ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (005511fe) TDO (00550dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (005523fa) TDO (005511ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (005525fe) TDO (005523fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (005529fe) TDO (005525ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00552dfe) TDO (005529ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (005531fe) TDO (00552dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (005543fa) TDO (005531ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (005545fe) TDO (005543fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (005549fe) TDO (005545ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00554dfe) TDO (005549ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (005551fe) TDO (00554dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (005563fa) TDO (005551ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (005565fe) TDO (005563fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (005569fe) TDO (005565ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00556dfe) TDO (005569ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (005571fe) TDO (00556dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (005583fa) TDO (005571ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (005585fe) TDO (005583fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (005589fe) TDO (005585ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00558dfe) TDO (005589ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (005591fe) TDO (00558dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0055a3fa) TDO (005591ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0055a5fe) TDO (0055a3fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (0055a9fe) TDO (0055a5ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0055adfe) TDO (0055a9ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0055b1fe) TDO (0055adff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0055c3fa) TDO (0055b1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0055c5fe) TDO (0055c3fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (0055c9fe) TDO (0055c5ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0055cdfe) TDO (0055c9ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0055d1fe) TDO (0055cdff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0055e3fa) TDO (0055d1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0055e5fe) TDO (0055e3fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (0055e9fe) TDO (0055e5ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0055edfe) TDO (0055e9ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0055f1fe) TDO (0055edff) ;
RUNTEST 1 TCK;
SDR 27 TDI (005603fa) TDO (0055f1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (005605fe) TDO (005603fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (005609fe) TDO (005605ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00560dfe) TDO (005609ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (005611fe) TDO (00560dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (005623fa) TDO (005611ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (005625fe) TDO (005623fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (005629fe) TDO (005625ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00562dfe) TDO (005629ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (005631fe) TDO (00562dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00800342) TDO (005631ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (008007ca) TDO (00800343) ;
RUNTEST 1 TCK;
SDR 27 TDI (00800bca) TDO (008007cb) ;
RUNTEST 1 TCK;
SDR 27 TDI (00800fca) TDO (00800bcb) ;
RUNTEST 1 TCK;
SDR 27 TDI (008013ca) TDO (00800fcb) ;
RUNTEST 1 TCK;
SDR 27 TDI (00802312) TDO (008013cb) ;
RUNTEST 1 TCK;
SDR 27 TDI (008027fe) TDO (00802313) ;
RUNTEST 1 TCK;
SDR 27 TDI (00802b72) TDO (008027ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00802f62) TDO (00802b73) ;
RUNTEST 1 TCK;
SDR 27 TDI (0080306a) TDO (00802f63) ;
RUNTEST 1 TCK;
SDR 27 TDI (00804002) TDO (0080306b) ;
RUNTEST 1 TCK;
SDR 27 TDI (00804456) TDO (00804003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00804876) TDO (00804457) ;
RUNTEST 1 TCK;
SDR 27 TDI (00804c76) TDO (00804877) ;
RUNTEST 1 TCK;
SDR 27 TDI (0080506e) TDO (00804c77) ;
RUNTEST 1 TCK;
SDR 27 TDI (00808302) TDO (0080506f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00808702) TDO (00808303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00808b06) TDO (00808703) ;
RUNTEST 1 TCK;
SDR 27 TDI (00808f02) TDO (00808b07) ;
RUNTEST 1 TCK;
SDR 27 TDI (00809302) TDO (00808f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0080a302) TDO (00809303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0080a702) TDO (0080a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0080ab02) TDO (0080a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0080af02) TDO (0080ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0080b002) TDO (0080af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0080c002) TDO (0080b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0080c402) TDO (0080c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0080c802) TDO (0080c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0080cc02) TDO (0080c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0080d002) TDO (0080cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00810342) TDO (0080d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (008107ce) TDO (00810343) ;
RUNTEST 1 TCK;
SDR 27 TDI (00810bce) TDO (008107cf) ;
RUNTEST 1 TCK;
SDR 27 TDI (00810fce) TDO (00810bcf) ;
RUNTEST 1 TCK;
SDR 27 TDI (008113ce) TDO (00810fcf) ;
RUNTEST 1 TCK;
SDR 27 TDI (00812312) TDO (008113cf) ;
RUNTEST 1 TCK;
SDR 27 TDI (008127fe) TDO (00812313) ;
RUNTEST 1 TCK;
SDR 27 TDI (00812b76) TDO (008127ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00812f66) TDO (00812b77) ;
RUNTEST 1 TCK;
SDR 27 TDI (00813062) TDO (00812f67) ;
RUNTEST 1 TCK;
SDR 27 TDI (00814002) TDO (00813063) ;
RUNTEST 1 TCK;
SDR 27 TDI (008144d6) TDO (00814003) ;
RUNTEST 1 TCK;
SDR 27 TDI (008148f6) TDO (008144d7) ;
RUNTEST 1 TCK;
SDR 27 TDI (00814cf6) TDO (008148f7) ;
RUNTEST 1 TCK;
SDR 27 TDI (008150e6) TDO (00814cf7) ;
RUNTEST 1 TCK;
SDR 27 TDI (00818302) TDO (008150e7) ;
RUNTEST 1 TCK;
SDR 27 TDI (00818712) TDO (00818303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00818b02) TDO (00818713) ;
RUNTEST 1 TCK;
SDR 27 TDI (00818f02) TDO (00818b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00819302) TDO (00818f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0081a302) TDO (00819303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0081a702) TDO (0081a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0081ab02) TDO (0081a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0081af02) TDO (0081ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0081b002) TDO (0081af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0081c002) TDO (0081b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0081c40a) TDO (0081c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0081c802) TDO (0081c40b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0081cc02) TDO (0081c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0081d002) TDO (0081cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00820302) TDO (0081d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00820702) TDO (00820303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00820b02) TDO (00820703) ;
RUNTEST 1 TCK;
SDR 27 TDI (00820f02) TDO (00820b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00821302) TDO (00820f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00822302) TDO (00821303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00822786) TDO (00822303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00822b02) TDO (00822787) ;
RUNTEST 1 TCK;
SDR 27 TDI (00822f02) TDO (00822b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0082300a) TDO (00822f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00824002) TDO (0082300b) ;
RUNTEST 1 TCK;
SDR 27 TDI (00824402) TDO (00824003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00824802) TDO (00824403) ;
RUNTEST 1 TCK;
SDR 27 TDI (00824c02) TDO (00824803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0082500a) TDO (00824c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00828302) TDO (0082500b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0082870e) TDO (00828303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00828b02) TDO (0082870f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00828f02) TDO (00828b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00829302) TDO (00828f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0082a302) TDO (00829303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0082a76a) TDO (0082a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0082ab02) TDO (0082a76b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0082af02) TDO (0082ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0082b002) TDO (0082af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0082c002) TDO (0082b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0082c456) TDO (0082c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0082c802) TDO (0082c457) ;
RUNTEST 1 TCK;
SDR 27 TDI (0082cc02) TDO (0082c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0082d002) TDO (0082cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00830302) TDO (0082d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00830702) TDO (00830303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00830b02) TDO (00830703) ;
RUNTEST 1 TCK;
SDR 27 TDI (00830f02) TDO (00830b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00831302) TDO (00830f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00832302) TDO (00831303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00832786) TDO (00832303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00832b02) TDO (00832787) ;
RUNTEST 1 TCK;
SDR 27 TDI (00832f02) TDO (00832b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0083300a) TDO (00832f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00834002) TDO (0083300b) ;
RUNTEST 1 TCK;
SDR 27 TDI (00834406) TDO (00834003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00834806) TDO (00834407) ;
RUNTEST 1 TCK;
SDR 27 TDI (00834c02) TDO (00834807) ;
RUNTEST 1 TCK;
SDR 27 TDI (0083500a) TDO (00834c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00838302) TDO (0083500b) ;
RUNTEST 1 TCK;
SDR 27 TDI (00838702) TDO (00838303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00838bc2) TDO (00838703) ;
RUNTEST 1 TCK;
SDR 27 TDI (00838f0a) TDO (00838bc3) ;
RUNTEST 1 TCK;
SDR 27 TDI (00839302) TDO (00838f0b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0083a312) TDO (00839303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0083a702) TDO (0083a313) ;
RUNTEST 1 TCK;
SDR 27 TDI (0083ab02) TDO (0083a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0083af02) TDO (0083ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0083b002) TDO (0083af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0083c002) TDO (0083b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0083c402) TDO (0083c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0083c822) TDO (0083c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0083cc06) TDO (0083c823) ;
RUNTEST 1 TCK;
SDR 27 TDI (0083d082) TDO (0083cc07) ;
RUNTEST 1 TCK;
SDR 27 TDI (00840302) TDO (0083d083) ;
RUNTEST 1 TCK;
SDR 27 TDI (0084070a) TDO (00840303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00840b02) TDO (0084070b) ;
RUNTEST 1 TCK;
SDR 27 TDI (00840f02) TDO (00840b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00841302) TDO (00840f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00842302) TDO (00841303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00842786) TDO (00842303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00842b02) TDO (00842787) ;
RUNTEST 1 TCK;
SDR 27 TDI (00842f02) TDO (00842b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0084300a) TDO (00842f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00844002) TDO (0084300b) ;
RUNTEST 1 TCK;
SDR 27 TDI (00844406) TDO (00844003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00844806) TDO (00844407) ;
RUNTEST 1 TCK;
SDR 27 TDI (00844c06) TDO (00844807) ;
RUNTEST 1 TCK;
SDR 27 TDI (0084500e) TDO (00844c07) ;
RUNTEST 1 TCK;
SDR 27 TDI (00848302) TDO (0084500f) ;
RUNTEST 1 TCK;
SDR 27 TDI (008487c2) TDO (00848303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00848b0a) TDO (008487c3) ;
RUNTEST 1 TCK;
SDR 27 TDI (00848f02) TDO (00848b0b) ;
RUNTEST 1 TCK;
SDR 27 TDI (00849302) TDO (00848f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0084a302) TDO (00849303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0084a702) TDO (0084a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0084ab02) TDO (0084a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0084af02) TDO (0084ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0084b022) TDO (0084af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0084c002) TDO (0084b023) ;
RUNTEST 1 TCK;
SDR 27 TDI (0084c402) TDO (0084c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0084c802) TDO (0084c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0084cc92) TDO (0084c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0084d002) TDO (0084cc93) ;
RUNTEST 1 TCK;
SDR 27 TDI (00850342) TDO (0084d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (008507ce) TDO (00850343) ;
RUNTEST 1 TCK;
SDR 27 TDI (00850bce) TDO (008507cf) ;
RUNTEST 1 TCK;
SDR 27 TDI (00850fce) TDO (00850bcf) ;
RUNTEST 1 TCK;
SDR 27 TDI (008513ce) TDO (00850fcf) ;
RUNTEST 1 TCK;
SDR 27 TDI (00852312) TDO (008513cf) ;
RUNTEST 1 TCK;
SDR 27 TDI (008527fe) TDO (00852313) ;
RUNTEST 1 TCK;
SDR 27 TDI (00852b76) TDO (008527ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00852f66) TDO (00852b77) ;
RUNTEST 1 TCK;
SDR 27 TDI (0085306a) TDO (00852f67) ;
RUNTEST 1 TCK;
SDR 27 TDI (00854002) TDO (0085306b) ;
RUNTEST 1 TCK;
SDR 27 TDI (008544d6) TDO (00854003) ;
RUNTEST 1 TCK;
SDR 27 TDI (008548f6) TDO (008544d7) ;
RUNTEST 1 TCK;
SDR 27 TDI (00854cf6) TDO (008548f7) ;
RUNTEST 1 TCK;
SDR 27 TDI (008550e6) TDO (00854cf7) ;
RUNTEST 1 TCK;
SDR 27 TDI (00858302) TDO (008550e7) ;
RUNTEST 1 TCK;
SDR 27 TDI (00858702) TDO (00858303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00858b12) TDO (00858703) ;
RUNTEST 1 TCK;
SDR 27 TDI (00858f02) TDO (00858b13) ;
RUNTEST 1 TCK;
SDR 27 TDI (00859302) TDO (00858f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0085a302) TDO (00859303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0085a702) TDO (0085a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0085ab02) TDO (0085a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0085af02) TDO (0085ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0085b002) TDO (0085af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0085c002) TDO (0085b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0085c402) TDO (0085c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0085c802) TDO (0085c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0085cc02) TDO (0085c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0085d002) TDO (0085cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00860002) TDO (0085d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00860402) TDO (00860003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00860802) TDO (00860403) ;
RUNTEST 1 TCK;
SDR 27 TDI (00860e02) TDO (00860803) ;
RUNTEST 1 TCK;
SDR 27 TDI (00861002) TDO (00860e03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00862002) TDO (00861003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00862402) TDO (00862003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00862902) TDO (00862403) ;
RUNTEST 1 TCK;
SDR 27 TDI (00862c02) TDO (00862903) ;
RUNTEST 1 TCK;
SDR 27 TDI (00863002) TDO (00862c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00864002) TDO (00863003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00864402) TDO (00864003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00864802) TDO (00864403) ;
RUNTEST 1 TCK;
SDR 27 TDI (00864c02) TDO (00864803) ;
RUNTEST 1 TCK;
SDR 27 TDI (00865002) TDO (00864c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00868222) TDO (00865003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00868402) TDO (00868223) ;
RUNTEST 1 TCK;
SDR 27 TDI (00868a02) TDO (00868403) ;
RUNTEST 1 TCK;
SDR 27 TDI (00868c02) TDO (00868a03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00869002) TDO (00868c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0086a002) TDO (00869003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0086a402) TDO (0086a003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0086aa02) TDO (0086a403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0086ae02) TDO (0086aa03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0086b002) TDO (0086ae03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0086c002) TDO (0086b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0086c402) TDO (0086c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0086c802) TDO (0086c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0086cc02) TDO (0086c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0086d002) TDO (0086cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00870042) TDO (0086d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (008704ce) TDO (00870043) ;
RUNTEST 1 TCK;
SDR 27 TDI (008708ce) TDO (008704cf) ;
RUNTEST 1 TCK;
SDR 27 TDI (00870ece) TDO (008708cf) ;
RUNTEST 1 TCK;
SDR 27 TDI (008710ce) TDO (00870ecf) ;
RUNTEST 1 TCK;
SDR 27 TDI (00872012) TDO (008710cf) ;
RUNTEST 1 TCK;
SDR 27 TDI (008726fe) TDO (00872013) ;
RUNTEST 1 TCK;
SDR 27 TDI (00872b76) TDO (008726ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00872e66) TDO (00872b77) ;
RUNTEST 1 TCK;
SDR 27 TDI (0087306a) TDO (00872e67) ;
RUNTEST 1 TCK;
SDR 27 TDI (00874002) TDO (0087306b) ;
RUNTEST 1 TCK;
SDR 27 TDI (008744d6) TDO (00874003) ;
RUNTEST 1 TCK;
SDR 27 TDI (008748f6) TDO (008744d7) ;
RUNTEST 1 TCK;
SDR 27 TDI (00874cf6) TDO (008748f7) ;
RUNTEST 1 TCK;
SDR 27 TDI (008750ee) TDO (00874cf7) ;
RUNTEST 1 TCK;
SDR 27 TDI (00878302) TDO (008750ef) ;
RUNTEST 1 TCK;
SDR 27 TDI (00878702) TDO (00878303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00878b02) TDO (00878703) ;
RUNTEST 1 TCK;
SDR 27 TDI (00878d02) TDO (00878b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00879302) TDO (00878d03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0087a302) TDO (00879303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0087a502) TDO (0087a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0087a802) TDO (0087a503) ;
RUNTEST 1 TCK;
SDR 27 TDI (0087ad02) TDO (0087a803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0087b002) TDO (0087ad03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0087c002) TDO (0087b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0087c402) TDO (0087c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0087c802) TDO (0087c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0087cc02) TDO (0087c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0087d002) TDO (0087cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00880002) TDO (0087d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0088050a) TDO (00880003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00880a0a) TDO (0088050b) ;
RUNTEST 1 TCK;
SDR 27 TDI (00880f0a) TDO (00880a0b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0088110a) TDO (00880f0b) ;
RUNTEST 1 TCK;
SDR 27 TDI (00882002) TDO (0088110b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0088268e) TDO (00882003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00882b02) TDO (0088268f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00882e02) TDO (00882b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0088300a) TDO (00882e03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00884002) TDO (0088300b) ;
RUNTEST 1 TCK;
SDR 27 TDI (00884406) TDO (00884003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00884806) TDO (00884407) ;
RUNTEST 1 TCK;
SDR 27 TDI (00884c06) TDO (00884807) ;
RUNTEST 1 TCK;
SDR 27 TDI (0088500e) TDO (00884c07) ;
RUNTEST 1 TCK;
SDR 27 TDI (00888342) TDO (0088500f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00888602) TDO (00888343) ;
RUNTEST 1 TCK;
SDR 27 TDI (00888902) TDO (00888603) ;
RUNTEST 1 TCK;
SDR 27 TDI (00888c02) TDO (00888903) ;
RUNTEST 1 TCK;
SDR 27 TDI (00889202) TDO (00888c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0088a302) TDO (00889203) ;
RUNTEST 1 TCK;
SDR 27 TDI (0088a502) TDO (0088a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0088aa02) TDO (0088a503) ;
RUNTEST 1 TCK;
SDR 27 TDI (0088ad22) TDO (0088aa03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0088b042) TDO (0088ad23) ;
RUNTEST 1 TCK;
SDR 27 TDI (0088c002) TDO (0088b043) ;
RUNTEST 1 TCK;
SDR 27 TDI (0088c402) TDO (0088c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0088c892) TDO (0088c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0088cc02) TDO (0088c893) ;
RUNTEST 1 TCK;
SDR 27 TDI (0088d002) TDO (0088cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00890002) TDO (0088d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00890502) TDO (00890003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00890b02) TDO (00890503) ;
RUNTEST 1 TCK;
SDR 27 TDI (00890f02) TDO (00890b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00891102) TDO (00890f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00892102) TDO (00891103) ;
RUNTEST 1 TCK;
SDR 27 TDI (00892602) TDO (00892103) ;
RUNTEST 1 TCK;
SDR 27 TDI (00892b02) TDO (00892603) ;
RUNTEST 1 TCK;
SDR 27 TDI (00892e02) TDO (00892b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00893002) TDO (00892e03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00894002) TDO (00893003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00894402) TDO (00894003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00894802) TDO (00894403) ;
RUNTEST 1 TCK;
SDR 27 TDI (00894c02) TDO (00894803) ;
RUNTEST 1 TCK;
SDR 27 TDI (00895002) TDO (00894c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00898302) TDO (00895003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00898602) TDO (00898303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00898802) TDO (00898603) ;
RUNTEST 1 TCK;
SDR 27 TDI (00898c02) TDO (00898803) ;
RUNTEST 1 TCK;
SDR 27 TDI (00899222) TDO (00898c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0089a202) TDO (00899223) ;
RUNTEST 1 TCK;
SDR 27 TDI (0089a502) TDO (0089a203) ;
RUNTEST 1 TCK;
SDR 27 TDI (0089ab02) TDO (0089a503) ;
RUNTEST 1 TCK;
SDR 27 TDI (0089ad02) TDO (0089ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0089b002) TDO (0089ad03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0089c002) TDO (0089b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0089c402) TDO (0089c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0089c802) TDO (0089c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0089cc02) TDO (0089c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0089d002) TDO (0089cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (008a0002) TDO (0089d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (008a050a) TDO (008a0003) ;
RUNTEST 1 TCK;
SDR 27 TDI (008a0b0a) TDO (008a050b) ;
RUNTEST 1 TCK;
SDR 27 TDI (008a0f0a) TDO (008a0b0b) ;
RUNTEST 1 TCK;
SDR 27 TDI (008a130a) TDO (008a0f0b) ;
RUNTEST 1 TCK;
SDR 27 TDI (008a2112) TDO (008a130b) ;
RUNTEST 1 TCK;
SDR 27 TDI (008a269e) TDO (008a2113) ;
RUNTEST 1 TCK;
SDR 27 TDI (008a2b12) TDO (008a269f) ;
RUNTEST 1 TCK;
SDR 27 TDI (008a2f02) TDO (008a2b13) ;
RUNTEST 1 TCK;
SDR 27 TDI (008a300a) TDO (008a2f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (008a4002) TDO (008a300b) ;
RUNTEST 1 TCK;
SDR 27 TDI (008a4416) TDO (008a4003) ;
RUNTEST 1 TCK;
SDR 27 TDI (008a4816) TDO (008a4417) ;
RUNTEST 1 TCK;
SDR 27 TDI (008a4c16) TDO (008a4817) ;
RUNTEST 1 TCK;
SDR 27 TDI (008a500e) TDO (008a4c17) ;
RUNTEST 1 TCK;
SDR 27 TDI (008a8302) TDO (008a500f) ;
RUNTEST 1 TCK;
SDR 27 TDI (008a8702) TDO (008a8303) ;
RUNTEST 1 TCK;
SDR 27 TDI (008a8802) TDO (008a8703) ;
RUNTEST 1 TCK;
SDR 27 TDI (008a8c02) TDO (008a8803) ;
RUNTEST 1 TCK;
SDR 27 TDI (008a9002) TDO (008a8c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (008aa202) TDO (008a9003) ;
RUNTEST 1 TCK;
SDR 27 TDI (008aa502) TDO (008aa203) ;
RUNTEST 1 TCK;
SDR 27 TDI (008aab22) TDO (008aa503) ;
RUNTEST 1 TCK;
SDR 27 TDI (008aac42) TDO (008aab23) ;
RUNTEST 1 TCK;
SDR 27 TDI (008ab002) TDO (008aac43) ;
RUNTEST 1 TCK;
SDR 27 TDI (008ac002) TDO (008ab003) ;
RUNTEST 1 TCK;
SDR 27 TDI (008ac482) TDO (008ac003) ;
RUNTEST 1 TCK;
SDR 27 TDI (008ac802) TDO (008ac483) ;
RUNTEST 1 TCK;
SDR 27 TDI (008acc02) TDO (008ac803) ;
RUNTEST 1 TCK;
SDR 27 TDI (008ad042) TDO (008acc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (008b0302) TDO (008ad043) ;
RUNTEST 1 TCK;
SDR 27 TDI (008b0602) TDO (008b0303) ;
RUNTEST 1 TCK;
SDR 27 TDI (008b0b02) TDO (008b0603) ;
RUNTEST 1 TCK;
SDR 27 TDI (008b0f02) TDO (008b0b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (008b1302) TDO (008b0f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (008b2202) TDO (008b1303) ;
RUNTEST 1 TCK;
SDR 27 TDI (008b2602) TDO (008b2203) ;
RUNTEST 1 TCK;
SDR 27 TDI (008b2a02) TDO (008b2603) ;
RUNTEST 1 TCK;
SDR 27 TDI (008b2f02) TDO (008b2a03) ;
RUNTEST 1 TCK;
SDR 27 TDI (008b3002) TDO (008b2f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (008b4002) TDO (008b3003) ;
RUNTEST 1 TCK;
SDR 27 TDI (008b4402) TDO (008b4003) ;
RUNTEST 1 TCK;
SDR 27 TDI (008b4802) TDO (008b4403) ;
RUNTEST 1 TCK;
SDR 27 TDI (008b4c02) TDO (008b4803) ;
RUNTEST 1 TCK;
SDR 27 TDI (008b5002) TDO (008b4c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (008b8002) TDO (008b5003) ;
RUNTEST 1 TCK;
SDR 27 TDI (008b8702) TDO (008b8003) ;
RUNTEST 1 TCK;
SDR 27 TDI (008b8b02) TDO (008b8703) ;
RUNTEST 1 TCK;
SDR 27 TDI (008b8e22) TDO (008b8b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (008b9002) TDO (008b8e23) ;
RUNTEST 1 TCK;
SDR 27 TDI (008ba002) TDO (008b9003) ;
RUNTEST 1 TCK;
SDR 27 TDI (008ba602) TDO (008ba003) ;
RUNTEST 1 TCK;
SDR 27 TDI (008bab02) TDO (008ba603) ;
RUNTEST 1 TCK;
SDR 27 TDI (008bae02) TDO (008bab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (008bb002) TDO (008bae03) ;
RUNTEST 1 TCK;
SDR 27 TDI (008bc002) TDO (008bb003) ;
RUNTEST 1 TCK;
SDR 27 TDI (008bc402) TDO (008bc003) ;
RUNTEST 1 TCK;
SDR 27 TDI (008bc802) TDO (008bc403) ;
RUNTEST 1 TCK;
SDR 27 TDI (008bcc02) TDO (008bc803) ;
RUNTEST 1 TCK;
SDR 27 TDI (008bd002) TDO (008bcc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (008c0202) TDO (008bd003) ;
RUNTEST 1 TCK;
SDR 27 TDI (008c0502) TDO (008c0203) ;
RUNTEST 1 TCK;
SDR 27 TDI (008c0b02) TDO (008c0503) ;
RUNTEST 1 TCK;
SDR 27 TDI (008c0f02) TDO (008c0b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (008c1302) TDO (008c0f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (008c2102) TDO (008c1303) ;
RUNTEST 1 TCK;
SDR 27 TDI (008c2502) TDO (008c2103) ;
RUNTEST 1 TCK;
SDR 27 TDI (008c2b02) TDO (008c2503) ;
RUNTEST 1 TCK;
SDR 27 TDI (008c2f02) TDO (008c2b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (008c3002) TDO (008c2f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (008c4022) TDO (008c3003) ;
RUNTEST 1 TCK;
SDR 27 TDI (008c4402) TDO (008c4023) ;
RUNTEST 1 TCK;
SDR 27 TDI (008c4802) TDO (008c4403) ;
RUNTEST 1 TCK;
SDR 27 TDI (008c4c02) TDO (008c4803) ;
RUNTEST 1 TCK;
SDR 27 TDI (008c5012) TDO (008c4c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (008c8322) TDO (008c5013) ;
RUNTEST 1 TCK;
SDR 27 TDI (008c8622) TDO (008c8323) ;
RUNTEST 1 TCK;
SDR 27 TDI (008c8922) TDO (008c8623) ;
RUNTEST 1 TCK;
SDR 27 TDI (008c8f22) TDO (008c8923) ;
RUNTEST 1 TCK;
SDR 27 TDI (008c9322) TDO (008c8f23) ;
RUNTEST 1 TCK;
SDR 27 TDI (008ca302) TDO (008c9323) ;
RUNTEST 1 TCK;
SDR 27 TDI (008ca502) TDO (008ca303) ;
RUNTEST 1 TCK;
SDR 27 TDI (008ca902) TDO (008ca503) ;
RUNTEST 1 TCK;
SDR 27 TDI (008caf02) TDO (008ca903) ;
RUNTEST 1 TCK;
SDR 27 TDI (008cb002) TDO (008caf03) ;
RUNTEST 1 TCK;
SDR 27 TDI (008cc002) TDO (008cb003) ;
RUNTEST 1 TCK;
SDR 27 TDI (008cc422) TDO (008cc003) ;
RUNTEST 1 TCK;
SDR 27 TDI (008cc802) TDO (008cc423) ;
RUNTEST 1 TCK;
SDR 27 TDI (008ccc02) TDO (008cc803) ;
RUNTEST 1 TCK;
SDR 27 TDI (008cd002) TDO (008ccc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (008d0002) TDO (008cd003) ;
RUNTEST 1 TCK;
SDR 27 TDI (008d040a) TDO (008d0003) ;
RUNTEST 1 TCK;
SDR 27 TDI (008d080a) TDO (008d040b) ;
RUNTEST 1 TCK;
SDR 27 TDI (008d0e0a) TDO (008d080b) ;
RUNTEST 1 TCK;
SDR 27 TDI (008d100a) TDO (008d0e0b) ;
RUNTEST 1 TCK;
SDR 27 TDI (008d2012) TDO (008d100b) ;
RUNTEST 1 TCK;
SDR 27 TDI (008d24be) TDO (008d2013) ;
RUNTEST 1 TCK;
SDR 27 TDI (008d2832) TDO (008d24bf) ;
RUNTEST 1 TCK;
SDR 27 TDI (008d2c22) TDO (008d2833) ;
RUNTEST 1 TCK;
SDR 27 TDI (008d302a) TDO (008d2c23) ;
RUNTEST 1 TCK;
SDR 27 TDI (008d4002) TDO (008d302b) ;
RUNTEST 1 TCK;
SDR 27 TDI (008d4416) TDO (008d4003) ;
RUNTEST 1 TCK;
SDR 27 TDI (008d4836) TDO (008d4417) ;
RUNTEST 1 TCK;
SDR 27 TDI (008d4c36) TDO (008d4837) ;
RUNTEST 1 TCK;
SDR 27 TDI (008d502e) TDO (008d4c37) ;
RUNTEST 1 TCK;
SDR 27 TDI (008d8302) TDO (008d502f) ;
RUNTEST 1 TCK;
SDR 27 TDI (008d8702) TDO (008d8303) ;
RUNTEST 1 TCK;
SDR 27 TDI (008d8b02) TDO (008d8703) ;
RUNTEST 1 TCK;
SDR 27 TDI (008d8f02) TDO (008d8b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (008d9306) TDO (008d8f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (008da302) TDO (008d9307) ;
RUNTEST 1 TCK;
SDR 27 TDI (008da702) TDO (008da303) ;
RUNTEST 1 TCK;
SDR 27 TDI (008dab42) TDO (008da703) ;
RUNTEST 1 TCK;
SDR 27 TDI (008daf02) TDO (008dab43) ;
RUNTEST 1 TCK;
SDR 27 TDI (008db002) TDO (008daf03) ;
RUNTEST 1 TCK;
SDR 27 TDI (008dc002) TDO (008db003) ;
RUNTEST 1 TCK;
SDR 27 TDI (008dc402) TDO (008dc003) ;
RUNTEST 1 TCK;
SDR 27 TDI (008dc802) TDO (008dc403) ;
RUNTEST 1 TCK;
SDR 27 TDI (008dcc42) TDO (008dc803) ;
RUNTEST 1 TCK;
SDR 27 TDI (008dd002) TDO (008dcc43) ;
RUNTEST 1 TCK;
SDR 27 TDI (008e0142) TDO (008dd003) ;
RUNTEST 1 TCK;
SDR 27 TDI (008e074a) TDO (008e0143) ;
RUNTEST 1 TCK;
SDR 27 TDI (008e094a) TDO (008e074b) ;
RUNTEST 1 TCK;
SDR 27 TDI (008e0f4a) TDO (008e094b) ;
RUNTEST 1 TCK;
SDR 27 TDI (008e134a) TDO (008e0f4b) ;
RUNTEST 1 TCK;
SDR 27 TDI (008e2312) TDO (008e134b) ;
RUNTEST 1 TCK;
SDR 27 TDI (008e25fe) TDO (008e2313) ;
RUNTEST 1 TCK;
SDR 27 TDI (008e2872) TDO (008e25ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (008e2f62) TDO (008e2873) ;
RUNTEST 1 TCK;
SDR 27 TDI (008e302a) TDO (008e2f63) ;
RUNTEST 1 TCK;
SDR 27 TDI (008e4002) TDO (008e302b) ;
RUNTEST 1 TCK;
SDR 27 TDI (008e4416) TDO (008e4003) ;
RUNTEST 1 TCK;
SDR 27 TDI (008e4836) TDO (008e4417) ;
RUNTEST 1 TCK;
SDR 27 TDI (008e4c36) TDO (008e4837) ;
RUNTEST 1 TCK;
SDR 27 TDI (008e502e) TDO (008e4c37) ;
RUNTEST 1 TCK;
SDR 27 TDI (008e8302) TDO (008e502f) ;
RUNTEST 1 TCK;
SDR 27 TDI (008e8702) TDO (008e8303) ;
RUNTEST 1 TCK;
SDR 27 TDI (008e8b02) TDO (008e8703) ;
RUNTEST 1 TCK;
SDR 27 TDI (008e8f06) TDO (008e8b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (008e9302) TDO (008e8f07) ;
RUNTEST 1 TCK;
SDR 27 TDI (008ea302) TDO (008e9303) ;
RUNTEST 1 TCK;
SDR 27 TDI (008ea702) TDO (008ea303) ;
RUNTEST 1 TCK;
SDR 27 TDI (008eab02) TDO (008ea703) ;
RUNTEST 1 TCK;
SDR 27 TDI (008eaf02) TDO (008eab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (008eb002) TDO (008eaf03) ;
RUNTEST 1 TCK;
SDR 27 TDI (008ec002) TDO (008eb003) ;
RUNTEST 1 TCK;
SDR 27 TDI (008ec402) TDO (008ec003) ;
RUNTEST 1 TCK;
SDR 27 TDI (008ec842) TDO (008ec403) ;
RUNTEST 1 TCK;
SDR 27 TDI (008ecc02) TDO (008ec843) ;
RUNTEST 1 TCK;
SDR 27 TDI (008ed002) TDO (008ecc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (008f0342) TDO (008ed003) ;
RUNTEST 1 TCK;
SDR 27 TDI (008f07ce) TDO (008f0343) ;
RUNTEST 1 TCK;
SDR 27 TDI (008f0bce) TDO (008f07cf) ;
RUNTEST 1 TCK;
SDR 27 TDI (008f0fce) TDO (008f0bcf) ;
RUNTEST 1 TCK;
SDR 27 TDI (008f13ce) TDO (008f0fcf) ;
RUNTEST 1 TCK;
SDR 27 TDI (008f2312) TDO (008f13cf) ;
RUNTEST 1 TCK;
SDR 27 TDI (008f27fe) TDO (008f2313) ;
RUNTEST 1 TCK;
SDR 27 TDI (008f2b76) TDO (008f27ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (008f2f66) TDO (008f2b77) ;
RUNTEST 1 TCK;
SDR 27 TDI (008f3062) TDO (008f2f67) ;
RUNTEST 1 TCK;
SDR 27 TDI (008f4002) TDO (008f3063) ;
RUNTEST 1 TCK;
SDR 27 TDI (008f44d6) TDO (008f4003) ;
RUNTEST 1 TCK;
SDR 27 TDI (008f48f6) TDO (008f44d7) ;
RUNTEST 1 TCK;
SDR 27 TDI (008f4cf6) TDO (008f48f7) ;
RUNTEST 1 TCK;
SDR 27 TDI (008f50e6) TDO (008f4cf7) ;
RUNTEST 1 TCK;
SDR 27 TDI (008f8302) TDO (008f50e7) ;
RUNTEST 1 TCK;
SDR 27 TDI (008f8702) TDO (008f8303) ;
RUNTEST 1 TCK;
SDR 27 TDI (008f8b02) TDO (008f8703) ;
RUNTEST 1 TCK;
SDR 27 TDI (008f8f12) TDO (008f8b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (008f9302) TDO (008f8f13) ;
RUNTEST 1 TCK;
SDR 27 TDI (008fa302) TDO (008f9303) ;
RUNTEST 1 TCK;
SDR 27 TDI (008fa702) TDO (008fa303) ;
RUNTEST 1 TCK;
SDR 27 TDI (008fab02) TDO (008fa703) ;
RUNTEST 1 TCK;
SDR 27 TDI (008faf02) TDO (008fab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (008fb002) TDO (008faf03) ;
RUNTEST 1 TCK;
SDR 27 TDI (008fc002) TDO (008fb003) ;
RUNTEST 1 TCK;
SDR 27 TDI (008fc402) TDO (008fc003) ;
RUNTEST 1 TCK;
SDR 27 TDI (008fc80a) TDO (008fc403) ;
RUNTEST 1 TCK;
SDR 27 TDI (008fcc02) TDO (008fc80b) ;
RUNTEST 1 TCK;
SDR 27 TDI (008fd002) TDO (008fcc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00900302) TDO (008fd003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00900702) TDO (00900303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00900b02) TDO (00900703) ;
RUNTEST 1 TCK;
SDR 27 TDI (00900f02) TDO (00900b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00901302) TDO (00900f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00902302) TDO (00901303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00902702) TDO (00902303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00902b02) TDO (00902703) ;
RUNTEST 1 TCK;
SDR 27 TDI (00902f02) TDO (00902b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00903002) TDO (00902f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00904002) TDO (00903003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00904402) TDO (00904003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00904802) TDO (00904403) ;
RUNTEST 1 TCK;
SDR 27 TDI (00904c02) TDO (00904803) ;
RUNTEST 1 TCK;
SDR 27 TDI (00905002) TDO (00904c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0090839e) TDO (00905003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00908702) TDO (0090839f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00908b02) TDO (00908703) ;
RUNTEST 1 TCK;
SDR 27 TDI (00908f02) TDO (00908b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00909302) TDO (00908f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0090a36e) TDO (00909303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0090a702) TDO (0090a36f) ;
RUNTEST 1 TCK;
SDR 27 TDI (0090ab02) TDO (0090a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0090af02) TDO (0090ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0090b002) TDO (0090af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0090c05e) TDO (0090b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0090c402) TDO (0090c05f) ;
RUNTEST 1 TCK;
SDR 27 TDI (0090c802) TDO (0090c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0090cc02) TDO (0090c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0090d002) TDO (0090cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00910302) TDO (0090d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00910702) TDO (00910303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00910b02) TDO (00910703) ;
RUNTEST 1 TCK;
SDR 27 TDI (00910f02) TDO (00910b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00911302) TDO (00910f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00912302) TDO (00911303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00912702) TDO (00912303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00912b02) TDO (00912703) ;
RUNTEST 1 TCK;
SDR 27 TDI (00912f02) TDO (00912b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00913002) TDO (00912f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00914082) TDO (00913003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00914402) TDO (00914083) ;
RUNTEST 1 TCK;
SDR 27 TDI (00914802) TDO (00914403) ;
RUNTEST 1 TCK;
SDR 27 TDI (00914c02) TDO (00914803) ;
RUNTEST 1 TCK;
SDR 27 TDI (00915002) TDO (00914c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00918102) TDO (00915003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00918702) TDO (00918103) ;
RUNTEST 1 TCK;
SDR 27 TDI (00918902) TDO (00918703) ;
RUNTEST 1 TCK;
SDR 27 TDI (00918d02) TDO (00918903) ;
RUNTEST 1 TCK;
SDR 27 TDI (00919302) TDO (00918d03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0091a382) TDO (00919303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0091a702) TDO (0091a383) ;
RUNTEST 1 TCK;
SDR 27 TDI (0091aa02) TDO (0091a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0091af02) TDO (0091aa03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0091b002) TDO (0091af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0091c002) TDO (0091b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0091c402) TDO (0091c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0091c802) TDO (0091c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0091cc02) TDO (0091c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0091d002) TDO (0091cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00920242) TDO (0091d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (009204ce) TDO (00920243) ;
RUNTEST 1 TCK;
SDR 27 TDI (00920ace) TDO (009204cf) ;
RUNTEST 1 TCK;
SDR 27 TDI (00920ece) TDO (00920acf) ;
RUNTEST 1 TCK;
SDR 27 TDI (009210ce) TDO (00920ecf) ;
RUNTEST 1 TCK;
SDR 27 TDI (00922012) TDO (009210cf) ;
RUNTEST 1 TCK;
SDR 27 TDI (009224fe) TDO (00922013) ;
RUNTEST 1 TCK;
SDR 27 TDI (00922b76) TDO (009224ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00922e66) TDO (00922b77) ;
RUNTEST 1 TCK;
SDR 27 TDI (00923062) TDO (00922e67) ;
RUNTEST 1 TCK;
SDR 27 TDI (00924002) TDO (00923063) ;
RUNTEST 1 TCK;
SDR 27 TDI (009244d6) TDO (00924003) ;
RUNTEST 1 TCK;
SDR 27 TDI (009248f6) TDO (009244d7) ;
RUNTEST 1 TCK;
SDR 27 TDI (00924cf6) TDO (009248f7) ;
RUNTEST 1 TCK;
SDR 27 TDI (009250e6) TDO (00924cf7) ;
RUNTEST 1 TCK;
SDR 27 TDI (00928202) TDO (009250e7) ;
RUNTEST 1 TCK;
SDR 27 TDI (00928402) TDO (00928203) ;
RUNTEST 1 TCK;
SDR 27 TDI (00928a02) TDO (00928403) ;
RUNTEST 1 TCK;
SDR 27 TDI (00928e02) TDO (00928a03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00929012) TDO (00928e03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0092a002) TDO (00929013) ;
RUNTEST 1 TCK;
SDR 27 TDI (0092a402) TDO (0092a003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0092a90a) TDO (0092a403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0092ac02) TDO (0092a90b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0092b002) TDO (0092ac03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0092c002) TDO (0092b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0092c402) TDO (0092c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0092c802) TDO (0092c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0092cc02) TDO (0092c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0092d002) TDO (0092cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00930342) TDO (0092d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (009307ce) TDO (00930343) ;
RUNTEST 1 TCK;
SDR 27 TDI (00930bce) TDO (009307cf) ;
RUNTEST 1 TCK;
SDR 27 TDI (00930fce) TDO (00930bcf) ;
RUNTEST 1 TCK;
SDR 27 TDI (009313ce) TDO (00930fcf) ;
RUNTEST 1 TCK;
SDR 27 TDI (00932312) TDO (009313cf) ;
RUNTEST 1 TCK;
SDR 27 TDI (009327fe) TDO (00932313) ;
RUNTEST 1 TCK;
SDR 27 TDI (00932b76) TDO (009327ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00932f66) TDO (00932b77) ;
RUNTEST 1 TCK;
SDR 27 TDI (00933062) TDO (00932f67) ;
RUNTEST 1 TCK;
SDR 27 TDI (00934002) TDO (00933063) ;
RUNTEST 1 TCK;
SDR 27 TDI (009344d6) TDO (00934003) ;
RUNTEST 1 TCK;
SDR 27 TDI (009348f6) TDO (009344d7) ;
RUNTEST 1 TCK;
SDR 27 TDI (00934cf6) TDO (009348f7) ;
RUNTEST 1 TCK;
SDR 27 TDI (009350e6) TDO (00934cf7) ;
RUNTEST 1 TCK;
SDR 27 TDI (00938302) TDO (009350e7) ;
RUNTEST 1 TCK;
SDR 27 TDI (00938702) TDO (00938303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00938b02) TDO (00938703) ;
RUNTEST 1 TCK;
SDR 27 TDI (00938f02) TDO (00938b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00939302) TDO (00938f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0093a302) TDO (00939303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0093a702) TDO (0093a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0093ab02) TDO (0093a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0093af0a) TDO (0093ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0093b002) TDO (0093af0b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0093c002) TDO (0093b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0093c402) TDO (0093c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0093c802) TDO (0093c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0093cc0a) TDO (0093c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0093d002) TDO (0093cc0b) ;
RUNTEST 1 TCK;
SDR 27 TDI (00940242) TDO (0093d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (009404ce) TDO (00940243) ;
RUNTEST 1 TCK;
SDR 27 TDI (00940ace) TDO (009404cf) ;
RUNTEST 1 TCK;
SDR 27 TDI (00940ece) TDO (00940acf) ;
RUNTEST 1 TCK;
SDR 27 TDI (009410ce) TDO (00940ecf) ;
RUNTEST 1 TCK;
SDR 27 TDI (00942012) TDO (009410cf) ;
RUNTEST 1 TCK;
SDR 27 TDI (009426fe) TDO (00942013) ;
RUNTEST 1 TCK;
SDR 27 TDI (00942976) TDO (009426ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00942e66) TDO (00942977) ;
RUNTEST 1 TCK;
SDR 27 TDI (0094306a) TDO (00942e67) ;
RUNTEST 1 TCK;
SDR 27 TDI (00944002) TDO (0094306b) ;
RUNTEST 1 TCK;
SDR 27 TDI (009444d6) TDO (00944003) ;
RUNTEST 1 TCK;
SDR 27 TDI (009448f6) TDO (009444d7) ;
RUNTEST 1 TCK;
SDR 27 TDI (00944cf6) TDO (009448f7) ;
RUNTEST 1 TCK;
SDR 27 TDI (009450ee) TDO (00944cf7) ;
RUNTEST 1 TCK;
SDR 27 TDI (00948302) TDO (009450ef) ;
RUNTEST 1 TCK;
SDR 27 TDI (00948702) TDO (00948303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00948b02) TDO (00948703) ;
RUNTEST 1 TCK;
SDR 27 TDI (00948f02) TDO (00948b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00949302) TDO (00948f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0094a302) TDO (00949303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0094a702) TDO (0094a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0094ab02) TDO (0094a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0094af02) TDO (0094ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0094b002) TDO (0094af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0094c002) TDO (0094b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0094c402) TDO (0094c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0094c802) TDO (0094c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0094cc02) TDO (0094c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0094d002) TDO (0094cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00950302) TDO (0094d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00950702) TDO (00950303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00950b02) TDO (00950703) ;
RUNTEST 1 TCK;
SDR 27 TDI (00950f02) TDO (00950b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00951302) TDO (00950f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00952302) TDO (00951303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00952702) TDO (00952303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00952b02) TDO (00952703) ;
RUNTEST 1 TCK;
SDR 27 TDI (00952f02) TDO (00952b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00953002) TDO (00952f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00954002) TDO (00953003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00954402) TDO (00954003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00954802) TDO (00954403) ;
RUNTEST 1 TCK;
SDR 27 TDI (00954c02) TDO (00954803) ;
RUNTEST 1 TCK;
SDR 27 TDI (00955002) TDO (00954c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00958302) TDO (00955003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00958722) TDO (00958303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00958b02) TDO (00958723) ;
RUNTEST 1 TCK;
SDR 27 TDI (00958f02) TDO (00958b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00959302) TDO (00958f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0095a302) TDO (00959303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0095a502) TDO (0095a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0095ab02) TDO (0095a503) ;
RUNTEST 1 TCK;
SDR 27 TDI (0095af02) TDO (0095ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0095b002) TDO (0095af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0095c002) TDO (0095b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0095c402) TDO (0095c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0095c802) TDO (0095c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0095cc02) TDO (0095c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0095d002) TDO (0095cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00960142) TDO (0095d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (009607ce) TDO (00960143) ;
RUNTEST 1 TCK;
SDR 27 TDI (009609ce) TDO (009607cf) ;
RUNTEST 1 TCK;
SDR 27 TDI (00960dce) TDO (009609cf) ;
RUNTEST 1 TCK;
SDR 27 TDI (009613ce) TDO (00960dcf) ;
RUNTEST 1 TCK;
SDR 27 TDI (00962312) TDO (009613cf) ;
RUNTEST 1 TCK;
SDR 27 TDI (009625fe) TDO (00962313) ;
RUNTEST 1 TCK;
SDR 27 TDI (00962876) TDO (009625ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00962f66) TDO (00962877) ;
RUNTEST 1 TCK;
SDR 27 TDI (0096306a) TDO (00962f67) ;
RUNTEST 1 TCK;
SDR 27 TDI (00964002) TDO (0096306b) ;
RUNTEST 1 TCK;
SDR 27 TDI (009644d6) TDO (00964003) ;
RUNTEST 1 TCK;
SDR 27 TDI (009648f6) TDO (009644d7) ;
RUNTEST 1 TCK;
SDR 27 TDI (00964cf6) TDO (009648f7) ;
RUNTEST 1 TCK;
SDR 27 TDI (009650ee) TDO (00964cf7) ;
RUNTEST 1 TCK;
SDR 27 TDI (00968202) TDO (009650ef) ;
RUNTEST 1 TCK;
SDR 27 TDI (00968402) TDO (00968203) ;
RUNTEST 1 TCK;
SDR 27 TDI (00968a02) TDO (00968403) ;
RUNTEST 1 TCK;
SDR 27 TDI (00968e02) TDO (00968a03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00969002) TDO (00968e03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0096a002) TDO (00969003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0096a602) TDO (0096a003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0096ab02) TDO (0096a603) ;
RUNTEST 1 TCK;
SDR 27 TDI (0096ac02) TDO (0096ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0096b002) TDO (0096ac03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0096c002) TDO (0096b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0096c402) TDO (0096c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0096c802) TDO (0096c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0096cc02) TDO (0096c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0096d002) TDO (0096cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00970202) TDO (0096d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00970502) TDO (00970203) ;
RUNTEST 1 TCK;
SDR 27 TDI (00970a02) TDO (00970503) ;
RUNTEST 1 TCK;
SDR 27 TDI (00970e02) TDO (00970a03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00971002) TDO (00970e03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00972002) TDO (00971003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00972602) TDO (00972003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00972b02) TDO (00972603) ;
RUNTEST 1 TCK;
SDR 27 TDI (00972e02) TDO (00972b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00973002) TDO (00972e03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00974002) TDO (00973003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00974402) TDO (00974003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00974802) TDO (00974403) ;
RUNTEST 1 TCK;
SDR 27 TDI (00974c02) TDO (00974803) ;
RUNTEST 1 TCK;
SDR 27 TDI (00975002) TDO (00974c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00978302) TDO (00975003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00978702) TDO (00978303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00978b22) TDO (00978703) ;
RUNTEST 1 TCK;
SDR 27 TDI (00978f02) TDO (00978b23) ;
RUNTEST 1 TCK;
SDR 27 TDI (00979302) TDO (00978f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0097a302) TDO (00979303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0097a702) TDO (0097a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0097ab02) TDO (0097a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0097af02) TDO (0097ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0097b002) TDO (0097af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0097c002) TDO (0097b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0097c402) TDO (0097c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0097c802) TDO (0097c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0097cc02) TDO (0097c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0097d002) TDO (0097cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00980342) TDO (0097d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (009807ce) TDO (00980343) ;
RUNTEST 1 TCK;
SDR 27 TDI (00980bce) TDO (009807cf) ;
RUNTEST 1 TCK;
SDR 27 TDI (00980fce) TDO (00980bcf) ;
RUNTEST 1 TCK;
SDR 27 TDI (009813ce) TDO (00980fcf) ;
RUNTEST 1 TCK;
SDR 27 TDI (00982312) TDO (009813cf) ;
RUNTEST 1 TCK;
SDR 27 TDI (009827fe) TDO (00982313) ;
RUNTEST 1 TCK;
SDR 27 TDI (00982b76) TDO (009827ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00982f66) TDO (00982b77) ;
RUNTEST 1 TCK;
SDR 27 TDI (0098306a) TDO (00982f67) ;
RUNTEST 1 TCK;
SDR 27 TDI (00984002) TDO (0098306b) ;
RUNTEST 1 TCK;
SDR 27 TDI (009844d6) TDO (00984003) ;
RUNTEST 1 TCK;
SDR 27 TDI (009848f6) TDO (009844d7) ;
RUNTEST 1 TCK;
SDR 27 TDI (00984cf6) TDO (009848f7) ;
RUNTEST 1 TCK;
SDR 27 TDI (009850ee) TDO (00984cf7) ;
RUNTEST 1 TCK;
SDR 27 TDI (00988102) TDO (009850ef) ;
RUNTEST 1 TCK;
SDR 27 TDI (00988702) TDO (00988103) ;
RUNTEST 1 TCK;
SDR 27 TDI (00988902) TDO (00988703) ;
RUNTEST 1 TCK;
SDR 27 TDI (00988f02) TDO (00988903) ;
RUNTEST 1 TCK;
SDR 27 TDI (00989302) TDO (00988f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0098a302) TDO (00989303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0098a502) TDO (0098a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0098a802) TDO (0098a503) ;
RUNTEST 1 TCK;
SDR 27 TDI (0098af02) TDO (0098a803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0098b002) TDO (0098af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0098c002) TDO (0098b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0098c402) TDO (0098c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0098c802) TDO (0098c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0098cc02) TDO (0098c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0098d002) TDO (0098cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00990042) TDO (0098d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (009904ce) TDO (00990043) ;
RUNTEST 1 TCK;
SDR 27 TDI (009908ce) TDO (009904cf) ;
RUNTEST 1 TCK;
SDR 27 TDI (00990cce) TDO (009908cf) ;
RUNTEST 1 TCK;
SDR 27 TDI (009910ce) TDO (00990ccf) ;
RUNTEST 1 TCK;
SDR 27 TDI (00992012) TDO (009910cf) ;
RUNTEST 1 TCK;
SDR 27 TDI (009924fe) TDO (00992013) ;
RUNTEST 1 TCK;
SDR 27 TDI (00992876) TDO (009924ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00992c66) TDO (00992877) ;
RUNTEST 1 TCK;
SDR 27 TDI (0099306a) TDO (00992c67) ;
RUNTEST 1 TCK;
SDR 27 TDI (00994002) TDO (0099306b) ;
RUNTEST 1 TCK;
SDR 27 TDI (009944d6) TDO (00994003) ;
RUNTEST 1 TCK;
SDR 27 TDI (009948f6) TDO (009944d7) ;
RUNTEST 1 TCK;
SDR 27 TDI (00994cf6) TDO (009948f7) ;
RUNTEST 1 TCK;
SDR 27 TDI (009950ee) TDO (00994cf7) ;
RUNTEST 1 TCK;
SDR 27 TDI (00998002) TDO (009950ef) ;
RUNTEST 1 TCK;
SDR 27 TDI (00998402) TDO (00998003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00998802) TDO (00998403) ;
RUNTEST 1 TCK;
SDR 27 TDI (00998c02) TDO (00998803) ;
RUNTEST 1 TCK;
SDR 27 TDI (00999002) TDO (00998c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0099a002) TDO (00999003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0099a402) TDO (0099a003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0099a802) TDO (0099a403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0099ac02) TDO (0099a803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0099b002) TDO (0099ac03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0099c002) TDO (0099b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0099c402) TDO (0099c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0099c802) TDO (0099c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0099cc02) TDO (0099c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0099d002) TDO (0099cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (009a0002) TDO (0099d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (009a040a) TDO (009a0003) ;
RUNTEST 1 TCK;
SDR 27 TDI (009a080a) TDO (009a040b) ;
RUNTEST 1 TCK;
SDR 27 TDI (009a0c0a) TDO (009a080b) ;
RUNTEST 1 TCK;
SDR 27 TDI (009a100a) TDO (009a0c0b) ;
RUNTEST 1 TCK;
SDR 27 TDI (009a2002) TDO (009a100b) ;
RUNTEST 1 TCK;
SDR 27 TDI (009a248e) TDO (009a2003) ;
RUNTEST 1 TCK;
SDR 27 TDI (009a2a02) TDO (009a248f) ;
RUNTEST 1 TCK;
SDR 27 TDI (009a2c02) TDO (009a2a03) ;
RUNTEST 1 TCK;
SDR 27 TDI (009a300a) TDO (009a2c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (009a4002) TDO (009a300b) ;
RUNTEST 1 TCK;
SDR 27 TDI (009a4406) TDO (009a4003) ;
RUNTEST 1 TCK;
SDR 27 TDI (009a4806) TDO (009a4407) ;
RUNTEST 1 TCK;
SDR 27 TDI (009a4c06) TDO (009a4807) ;
RUNTEST 1 TCK;
SDR 27 TDI (009a500e) TDO (009a4c07) ;
RUNTEST 1 TCK;
SDR 27 TDI (009a8002) TDO (009a500f) ;
RUNTEST 1 TCK;
SDR 27 TDI (009a8402) TDO (009a8003) ;
RUNTEST 1 TCK;
SDR 27 TDI (009a8802) TDO (009a8403) ;
RUNTEST 1 TCK;
SDR 27 TDI (009a8cc2) TDO (009a8803) ;
RUNTEST 1 TCK;
SDR 27 TDI (009a9002) TDO (009a8cc3) ;
RUNTEST 1 TCK;
SDR 27 TDI (009aa002) TDO (009a9003) ;
RUNTEST 1 TCK;
SDR 27 TDI (009aa412) TDO (009aa003) ;
RUNTEST 1 TCK;
SDR 27 TDI (009aab06) TDO (009aa413) ;
RUNTEST 1 TCK;
SDR 27 TDI (009aac02) TDO (009aab07) ;
RUNTEST 1 TCK;
SDR 27 TDI (009ab002) TDO (009aac03) ;
RUNTEST 1 TCK;
SDR 27 TDI (009ac002) TDO (009ab003) ;
RUNTEST 1 TCK;
SDR 27 TDI (009ac402) TDO (009ac003) ;
RUNTEST 1 TCK;
SDR 27 TDI (009ac802) TDO (009ac403) ;
RUNTEST 1 TCK;
SDR 27 TDI (009acc22) TDO (009ac803) ;
RUNTEST 1 TCK;
SDR 27 TDI (009ad002) TDO (009acc23) ;
RUNTEST 1 TCK;
SDR 27 TDI (009b0002) TDO (009ad003) ;
RUNTEST 1 TCK;
SDR 27 TDI (009b0502) TDO (009b0003) ;
RUNTEST 1 TCK;
SDR 27 TDI (009b0802) TDO (009b0503) ;
RUNTEST 1 TCK;
SDR 27 TDI (009b0c02) TDO (009b0803) ;
RUNTEST 1 TCK;
SDR 27 TDI (009b1002) TDO (009b0c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (009b2002) TDO (009b1003) ;
RUNTEST 1 TCK;
SDR 27 TDI (009b2486) TDO (009b2003) ;
RUNTEST 1 TCK;
SDR 27 TDI (009b2b02) TDO (009b2487) ;
RUNTEST 1 TCK;
SDR 27 TDI (009b2c02) TDO (009b2b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (009b300a) TDO (009b2c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (009b4002) TDO (009b300b) ;
RUNTEST 1 TCK;
SDR 27 TDI (009b4402) TDO (009b4003) ;
RUNTEST 1 TCK;
SDR 27 TDI (009b4802) TDO (009b4403) ;
RUNTEST 1 TCK;
SDR 27 TDI (009b4c02) TDO (009b4803) ;
RUNTEST 1 TCK;
SDR 27 TDI (009b500a) TDO (009b4c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (009b8302) TDO (009b500b) ;
RUNTEST 1 TCK;
SDR 27 TDI (009b8602) TDO (009b8303) ;
RUNTEST 1 TCK;
SDR 27 TDI (009b8b02) TDO (009b8603) ;
RUNTEST 1 TCK;
SDR 27 TDI (009b8f02) TDO (009b8b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (009b93ca) TDO (009b8f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (009ba102) TDO (009b93cb) ;
RUNTEST 1 TCK;
SDR 27 TDI (009ba602) TDO (009ba103) ;
RUNTEST 1 TCK;
SDR 27 TDI (009baa12) TDO (009ba603) ;
RUNTEST 1 TCK;
SDR 27 TDI (009baf06) TDO (009baa13) ;
RUNTEST 1 TCK;
SDR 27 TDI (009bb002) TDO (009baf07) ;
RUNTEST 1 TCK;
SDR 27 TDI (009bc002) TDO (009bb003) ;
RUNTEST 1 TCK;
SDR 27 TDI (009bc402) TDO (009bc003) ;
RUNTEST 1 TCK;
SDR 27 TDI (009bc806) TDO (009bc403) ;
RUNTEST 1 TCK;
SDR 27 TDI (009bcc02) TDO (009bc807) ;
RUNTEST 1 TCK;
SDR 27 TDI (009bd026) TDO (009bcc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (009c0302) TDO (009bd027) ;
RUNTEST 1 TCK;
SDR 27 TDI (009c0702) TDO (009c0303) ;
RUNTEST 1 TCK;
SDR 27 TDI (009c0a02) TDO (009c0703) ;
RUNTEST 1 TCK;
SDR 27 TDI (009c0f02) TDO (009c0a03) ;
RUNTEST 1 TCK;
SDR 27 TDI (009c1302) TDO (009c0f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (009c2102) TDO (009c1303) ;
RUNTEST 1 TCK;
SDR 27 TDI (009c2602) TDO (009c2103) ;
RUNTEST 1 TCK;
SDR 27 TDI (009c2a02) TDO (009c2603) ;
RUNTEST 1 TCK;
SDR 27 TDI (009c2f02) TDO (009c2a03) ;
RUNTEST 1 TCK;
SDR 27 TDI (009c3002) TDO (009c2f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (009c4022) TDO (009c3003) ;
RUNTEST 1 TCK;
SDR 27 TDI (009c4402) TDO (009c4023) ;
RUNTEST 1 TCK;
SDR 27 TDI (009c4802) TDO (009c4403) ;
RUNTEST 1 TCK;
SDR 27 TDI (009c4c02) TDO (009c4803) ;
RUNTEST 1 TCK;
SDR 27 TDI (009c5012) TDO (009c4c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (009c8302) TDO (009c5013) ;
RUNTEST 1 TCK;
SDR 27 TDI (009c8602) TDO (009c8303) ;
RUNTEST 1 TCK;
SDR 27 TDI (009c8a02) TDO (009c8603) ;
RUNTEST 1 TCK;
SDR 27 TDI (009c8f02) TDO (009c8a03) ;
RUNTEST 1 TCK;
SDR 27 TDI (009c9302) TDO (009c8f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (009ca102) TDO (009c9303) ;
RUNTEST 1 TCK;
SDR 27 TDI (009ca702) TDO (009ca103) ;
RUNTEST 1 TCK;
SDR 27 TDI (009caa02) TDO (009ca703) ;
RUNTEST 1 TCK;
SDR 27 TDI (009caf02) TDO (009caa03) ;
RUNTEST 1 TCK;
SDR 27 TDI (009cb002) TDO (009caf03) ;
RUNTEST 1 TCK;
SDR 27 TDI (009cc002) TDO (009cb003) ;
RUNTEST 1 TCK;
SDR 27 TDI (009cc402) TDO (009cc003) ;
RUNTEST 1 TCK;
SDR 27 TDI (009cc802) TDO (009cc403) ;
RUNTEST 1 TCK;
SDR 27 TDI (009ccc02) TDO (009cc803) ;
RUNTEST 1 TCK;
SDR 27 TDI (009cd002) TDO (009ccc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (009d0202) TDO (009cd003) ;
RUNTEST 1 TCK;
SDR 27 TDI (009d0722) TDO (009d0203) ;
RUNTEST 1 TCK;
SDR 27 TDI (009d0a02) TDO (009d0723) ;
RUNTEST 1 TCK;
SDR 27 TDI (009d0d02) TDO (009d0a03) ;
RUNTEST 1 TCK;
SDR 27 TDI (009d1102) TDO (009d0d03) ;
RUNTEST 1 TCK;
SDR 27 TDI (009d2102) TDO (009d1103) ;
RUNTEST 1 TCK;
SDR 27 TDI (009d2602) TDO (009d2103) ;
RUNTEST 1 TCK;
SDR 27 TDI (009d2a02) TDO (009d2603) ;
RUNTEST 1 TCK;
SDR 27 TDI (009d2f02) TDO (009d2a03) ;
RUNTEST 1 TCK;
SDR 27 TDI (009d3002) TDO (009d2f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (009d4002) TDO (009d3003) ;
RUNTEST 1 TCK;
SDR 27 TDI (009d4422) TDO (009d4003) ;
RUNTEST 1 TCK;
SDR 27 TDI (009d4802) TDO (009d4423) ;
RUNTEST 1 TCK;
SDR 27 TDI (009d4c02) TDO (009d4803) ;
RUNTEST 1 TCK;
SDR 27 TDI (009d5002) TDO (009d4c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (009d8222) TDO (009d5003) ;
RUNTEST 1 TCK;
SDR 27 TDI (009d8702) TDO (009d8223) ;
RUNTEST 1 TCK;
SDR 27 TDI (009d8b22) TDO (009d8703) ;
RUNTEST 1 TCK;
SDR 27 TDI (009d8e22) TDO (009d8b23) ;
RUNTEST 1 TCK;
SDR 27 TDI (009d9322) TDO (009d8e23) ;
RUNTEST 1 TCK;
SDR 27 TDI (009da102) TDO (009d9323) ;
RUNTEST 1 TCK;
SDR 27 TDI (009da602) TDO (009da103) ;
RUNTEST 1 TCK;
SDR 27 TDI (009daa02) TDO (009da603) ;
RUNTEST 1 TCK;
SDR 27 TDI (009daf02) TDO (009daa03) ;
RUNTEST 1 TCK;
SDR 27 TDI (009db002) TDO (009daf03) ;
RUNTEST 1 TCK;
SDR 27 TDI (009dc002) TDO (009db003) ;
RUNTEST 1 TCK;
SDR 27 TDI (009dc402) TDO (009dc003) ;
RUNTEST 1 TCK;
SDR 27 TDI (009dc802) TDO (009dc403) ;
RUNTEST 1 TCK;
SDR 27 TDI (009dcc02) TDO (009dc803) ;
RUNTEST 1 TCK;
SDR 27 TDI (009dd002) TDO (009dcc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (009e0202) TDO (009dd003) ;
RUNTEST 1 TCK;
SDR 27 TDI (009e0702) TDO (009e0203) ;
RUNTEST 1 TCK;
SDR 27 TDI (009e0b02) TDO (009e0703) ;
RUNTEST 1 TCK;
SDR 27 TDI (009e0f22) TDO (009e0b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (009e1322) TDO (009e0f23) ;
RUNTEST 1 TCK;
SDR 27 TDI (009e2102) TDO (009e1323) ;
RUNTEST 1 TCK;
SDR 27 TDI (009e2602) TDO (009e2103) ;
RUNTEST 1 TCK;
SDR 27 TDI (009e2a02) TDO (009e2603) ;
RUNTEST 1 TCK;
SDR 27 TDI (009e2f02) TDO (009e2a03) ;
RUNTEST 1 TCK;
SDR 27 TDI (009e3002) TDO (009e2f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (009e4002) TDO (009e3003) ;
RUNTEST 1 TCK;
SDR 27 TDI (009e4422) TDO (009e4003) ;
RUNTEST 1 TCK;
SDR 27 TDI (009e4802) TDO (009e4423) ;
RUNTEST 1 TCK;
SDR 27 TDI (009e4c02) TDO (009e4803) ;
RUNTEST 1 TCK;
SDR 27 TDI (009e5002) TDO (009e4c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (009e8222) TDO (009e5003) ;
RUNTEST 1 TCK;
SDR 27 TDI (009e8722) TDO (009e8223) ;
RUNTEST 1 TCK;
SDR 27 TDI (009e8b22) TDO (009e8723) ;
RUNTEST 1 TCK;
SDR 27 TDI (009e8d02) TDO (009e8b23) ;
RUNTEST 1 TCK;
SDR 27 TDI (009e9302) TDO (009e8d03) ;
RUNTEST 1 TCK;
SDR 27 TDI (009ea302) TDO (009e9303) ;
RUNTEST 1 TCK;
SDR 27 TDI (009ea602) TDO (009ea303) ;
RUNTEST 1 TCK;
SDR 27 TDI (009eab02) TDO (009ea603) ;
RUNTEST 1 TCK;
SDR 27 TDI (009eaf02) TDO (009eab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (009eb002) TDO (009eaf03) ;
RUNTEST 1 TCK;
SDR 27 TDI (009ec002) TDO (009eb003) ;
RUNTEST 1 TCK;
SDR 27 TDI (009ec402) TDO (009ec003) ;
RUNTEST 1 TCK;
SDR 27 TDI (009ec802) TDO (009ec403) ;
RUNTEST 1 TCK;
SDR 27 TDI (009ecc02) TDO (009ec803) ;
RUNTEST 1 TCK;
SDR 27 TDI (009ed002) TDO (009ecc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (009f0202) TDO (009ed003) ;
RUNTEST 1 TCK;
SDR 27 TDI (009f0702) TDO (009f0203) ;
RUNTEST 1 TCK;
SDR 27 TDI (009f0b22) TDO (009f0703) ;
RUNTEST 1 TCK;
SDR 27 TDI (009f0c02) TDO (009f0b23) ;
RUNTEST 1 TCK;
SDR 27 TDI (009f1122) TDO (009f0c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (009f2102) TDO (009f1123) ;
RUNTEST 1 TCK;
SDR 27 TDI (009f2602) TDO (009f2103) ;
RUNTEST 1 TCK;
SDR 27 TDI (009f2b02) TDO (009f2603) ;
RUNTEST 1 TCK;
SDR 27 TDI (009f2f02) TDO (009f2b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (009f3002) TDO (009f2f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (009f4002) TDO (009f3003) ;
RUNTEST 1 TCK;
SDR 27 TDI (009f4422) TDO (009f4003) ;
RUNTEST 1 TCK;
SDR 27 TDI (009f4802) TDO (009f4423) ;
RUNTEST 1 TCK;
SDR 27 TDI (009f4c02) TDO (009f4803) ;
RUNTEST 1 TCK;
SDR 27 TDI (009f5002) TDO (009f4c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (009f8222) TDO (009f5003) ;
RUNTEST 1 TCK;
SDR 27 TDI (009f8722) TDO (009f8223) ;
RUNTEST 1 TCK;
SDR 27 TDI (009f8b02) TDO (009f8723) ;
RUNTEST 1 TCK;
SDR 27 TDI (009f8d22) TDO (009f8b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (009f9302) TDO (009f8d23) ;
RUNTEST 1 TCK;
SDR 27 TDI (009fa302) TDO (009f9303) ;
RUNTEST 1 TCK;
SDR 27 TDI (009fa602) TDO (009fa303) ;
RUNTEST 1 TCK;
SDR 27 TDI (009fab02) TDO (009fa603) ;
RUNTEST 1 TCK;
SDR 27 TDI (009faf02) TDO (009fab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (009fb002) TDO (009faf03) ;
RUNTEST 1 TCK;
SDR 27 TDI (009fc002) TDO (009fb003) ;
RUNTEST 1 TCK;
SDR 27 TDI (009fc402) TDO (009fc003) ;
RUNTEST 1 TCK;
SDR 27 TDI (009fc802) TDO (009fc403) ;
RUNTEST 1 TCK;
SDR 27 TDI (009fcc02) TDO (009fc803) ;
RUNTEST 1 TCK;
SDR 27 TDI (009fd002) TDO (009fcc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a00202) TDO (009fd003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a00702) TDO (00a00203) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a00b02) TDO (00a00703) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a00d02) TDO (00a00b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a01302) TDO (00a00d03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a02302) TDO (00a01303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a02602) TDO (00a02303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a02b02) TDO (00a02603) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a02f02) TDO (00a02b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a03002) TDO (00a02f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a04002) TDO (00a03003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a04402) TDO (00a04003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a04802) TDO (00a04403) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a04c02) TDO (00a04803) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a05002) TDO (00a04c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a08202) TDO (00a05003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a08702) TDO (00a08203) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a08b02) TDO (00a08703) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a08d02) TDO (00a08b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a09302) TDO (00a08d03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a0a382) TDO (00a09303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a0a602) TDO (00a0a383) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a0ab02) TDO (00a0a603) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a0af02) TDO (00a0ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a0b002) TDO (00a0af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a0c002) TDO (00a0b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a0c402) TDO (00a0c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a0c802) TDO (00a0c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a0cc02) TDO (00a0c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a0d002) TDO (00a0cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a10202) TDO (00a0d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a10702) TDO (00a10203) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a10b02) TDO (00a10703) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a10f02) TDO (00a10b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a11302) TDO (00a10f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a12302) TDO (00a11303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a12702) TDO (00a12303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a12b02) TDO (00a12703) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a12f02) TDO (00a12b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a13002) TDO (00a12f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a14002) TDO (00a13003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a14402) TDO (00a14003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a14802) TDO (00a14403) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a14c02) TDO (00a14803) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a15002) TDO (00a14c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a18202) TDO (00a15003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a18602) TDO (00a18203) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a18b02) TDO (00a18603) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a18f02) TDO (00a18b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a19302) TDO (00a18f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a1a382) TDO (00a19303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a1a702) TDO (00a1a383) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a1ab02) TDO (00a1a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a1af02) TDO (00a1ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a1b002) TDO (00a1af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a1c002) TDO (00a1b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a1c402) TDO (00a1c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a1c802) TDO (00a1c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a1cc02) TDO (00a1c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a1d002) TDO (00a1cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a20302) TDO (00a1d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a20702) TDO (00a20303) MASK (07fffeff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a20b02) TDO (00a20703) MASK (07ffffff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a20f02) TDO (00a20b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a21302) TDO (00a20f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a22302) TDO (00a21303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a22602) TDO (00a22303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a22b02) TDO (00a22603) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a22f02) TDO (00a22b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a23002) TDO (00a22f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a24002) TDO (00a23003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a24402) TDO (00a24003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a24802) TDO (00a24403) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a24c02) TDO (00a24803) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a25002) TDO (00a24c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a28302) TDO (00a25003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a28702) TDO (00a28303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a28b02) TDO (00a28703) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a28f02) TDO (00a28b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a29302) TDO (00a28f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a2a302) TDO (00a29303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a2a702) TDO (00a2a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a2ab02) TDO (00a2a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a2af02) TDO (00a2ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a2b002) TDO (00a2af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a2c002) TDO (00a2b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a2c402) TDO (00a2c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a2c802) TDO (00a2c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a2cc02) TDO (00a2c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a2d002) TDO (00a2cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a30302) TDO (00a2d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a30702) TDO (00a30303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a30b02) TDO (00a30703) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a30f02) TDO (00a30b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a31302) TDO (00a30f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a32302) TDO (00a31303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a32702) TDO (00a32303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a32b02) TDO (00a32703) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a32f02) TDO (00a32b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a33002) TDO (00a32f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a34002) TDO (00a33003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a34402) TDO (00a34003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a34802) TDO (00a34403) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a34c02) TDO (00a34803) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a35002) TDO (00a34c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a38302) TDO (00a35003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a38702) TDO (00a38303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a38b02) TDO (00a38703) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a38f02) TDO (00a38b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a39302) TDO (00a38f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a3a302) TDO (00a39303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a3a702) TDO (00a3a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a3ab02) TDO (00a3a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a3af02) TDO (00a3ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a3b002) TDO (00a3af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a3c002) TDO (00a3b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a3c402) TDO (00a3c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a3c802) TDO (00a3c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a3cc02) TDO (00a3c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (00a3d002) TDO (00a3cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c0021e) TDO (00a3d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c0047e) TDO (00c0021f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c0083e) TDO (00c0047f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c00c5e) TDO (00c0083f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c0111e) TDO (00c00c5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c0221e) TDO (00c0111f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c0247e) TDO (00c0221f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c0283e) TDO (00c0247f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c02c5e) TDO (00c0283f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c0311e) TDO (00c02c5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c0421e) TDO (00c0311f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c0447e) TDO (00c0421f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c0483e) TDO (00c0447f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c04c5e) TDO (00c0483f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c0511e) TDO (00c04c5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c0621e) TDO (00c0511f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c0647e) TDO (00c0621f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c0683e) TDO (00c0647f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c06c5e) TDO (00c0683f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c0711e) TDO (00c06c5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c0821e) TDO (00c0711f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c0847e) TDO (00c0821f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c0883e) TDO (00c0847f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c08c5e) TDO (00c0883f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c0911e) TDO (00c08c5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c0a21e) TDO (00c0911f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c0a47e) TDO (00c0a21f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c0a83e) TDO (00c0a47f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c0ac5e) TDO (00c0a83f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c0b11e) TDO (00c0ac5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c0c21e) TDO (00c0b11f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c0c47e) TDO (00c0c21f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c0c83e) TDO (00c0c47f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c0cc5e) TDO (00c0c83f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c0d11e) TDO (00c0cc5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c0e21e) TDO (00c0d11f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c0e47e) TDO (00c0e21f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c0e83e) TDO (00c0e47f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c0ec5e) TDO (00c0e83f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c0f11e) TDO (00c0ec5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c1021e) TDO (00c0f11f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c1047e) TDO (00c1021f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c1083e) TDO (00c1047f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c10c5e) TDO (00c1083f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c1111e) TDO (00c10c5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c1221e) TDO (00c1111f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c1247e) TDO (00c1221f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c1283e) TDO (00c1247f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c12c5e) TDO (00c1283f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c1311e) TDO (00c12c5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c1421e) TDO (00c1311f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c1447e) TDO (00c1421f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c1483e) TDO (00c1447f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c14c5e) TDO (00c1483f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c1511e) TDO (00c14c5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c1621e) TDO (00c1511f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c1647e) TDO (00c1621f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c1683e) TDO (00c1647f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c16c5e) TDO (00c1683f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c1711e) TDO (00c16c5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c1821e) TDO (00c1711f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c1847e) TDO (00c1821f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c1883e) TDO (00c1847f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c18c5e) TDO (00c1883f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c1911e) TDO (00c18c5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c1a21e) TDO (00c1911f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c1a47e) TDO (00c1a21f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c1a83e) TDO (00c1a47f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c1ac5e) TDO (00c1a83f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c1b11e) TDO (00c1ac5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c1c21e) TDO (00c1b11f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c1c47e) TDO (00c1c21f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c1c83e) TDO (00c1c47f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c1cc5e) TDO (00c1c83f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c1d11e) TDO (00c1cc5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c1e21e) TDO (00c1d11f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c1e47e) TDO (00c1e21f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c1e83e) TDO (00c1e47f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c1ec5e) TDO (00c1e83f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c1f11e) TDO (00c1ec5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c2021e) TDO (00c1f11f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c2047e) TDO (00c2021f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c2083e) TDO (00c2047f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c20c5e) TDO (00c2083f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c2111e) TDO (00c20c5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c2221e) TDO (00c2111f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c2247e) TDO (00c2221f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c2283e) TDO (00c2247f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c22c5e) TDO (00c2283f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c2311e) TDO (00c22c5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c4021e) TDO (00c2311f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c4047e) TDO (00c4021f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c4083e) TDO (00c4047f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c40c5e) TDO (00c4083f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c4111e) TDO (00c40c5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c4221e) TDO (00c4111f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c4247e) TDO (00c4221f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c4283e) TDO (00c4247f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c42c5e) TDO (00c4283f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c4311e) TDO (00c42c5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c4421e) TDO (00c4311f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c4447e) TDO (00c4421f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c4483e) TDO (00c4447f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c44c5e) TDO (00c4483f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c4511e) TDO (00c44c5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c4621e) TDO (00c4511f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c4647e) TDO (00c4621f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c4683e) TDO (00c4647f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c46c5e) TDO (00c4683f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c4711e) TDO (00c46c5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c4821e) TDO (00c4711f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c4847e) TDO (00c4821f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c4883e) TDO (00c4847f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c48c5e) TDO (00c4883f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c4911e) TDO (00c48c5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c4a21e) TDO (00c4911f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c4a47e) TDO (00c4a21f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c4a83e) TDO (00c4a47f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c4ac5e) TDO (00c4a83f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c4b11e) TDO (00c4ac5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c4c21e) TDO (00c4b11f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c4c47e) TDO (00c4c21f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c4c83e) TDO (00c4c47f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c4cc5e) TDO (00c4c83f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c4d11e) TDO (00c4cc5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c4e21e) TDO (00c4d11f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c4e47e) TDO (00c4e21f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c4e83e) TDO (00c4e47f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c4ec5e) TDO (00c4e83f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c4f11e) TDO (00c4ec5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c5021e) TDO (00c4f11f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c5047e) TDO (00c5021f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c5083e) TDO (00c5047f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c50c5e) TDO (00c5083f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c5111e) TDO (00c50c5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c5221e) TDO (00c5111f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c5247e) TDO (00c5221f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c5283e) TDO (00c5247f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c52c5e) TDO (00c5283f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c5311e) TDO (00c52c5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c5421e) TDO (00c5311f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c5447e) TDO (00c5421f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c5483e) TDO (00c5447f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c54c5e) TDO (00c5483f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c5511e) TDO (00c54c5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c5621e) TDO (00c5511f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c5647e) TDO (00c5621f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c5683e) TDO (00c5647f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c56c5e) TDO (00c5683f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c5711e) TDO (00c56c5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c5821e) TDO (00c5711f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c5847e) TDO (00c5821f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c5883e) TDO (00c5847f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c58c5e) TDO (00c5883f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c5911e) TDO (00c58c5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c5a21e) TDO (00c5911f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c5a47e) TDO (00c5a21f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c5a83e) TDO (00c5a47f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c5ac5e) TDO (00c5a83f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c5b11e) TDO (00c5ac5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c5c21e) TDO (00c5b11f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c5c47e) TDO (00c5c21f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c5c83e) TDO (00c5c47f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c5cc5e) TDO (00c5c83f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c5d11e) TDO (00c5cc5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c5e21e) TDO (00c5d11f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c5e47e) TDO (00c5e21f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c5e83e) TDO (00c5e47f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c5ec5e) TDO (00c5e83f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c5f11e) TDO (00c5ec5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c6021e) TDO (00c5f11f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c6047e) TDO (00c6021f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c6083e) TDO (00c6047f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c60c5e) TDO (00c6083f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c6111e) TDO (00c60c5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c6221e) TDO (00c6111f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c6247e) TDO (00c6221f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c6283e) TDO (00c6247f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c62c5e) TDO (00c6283f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c6311e) TDO (00c62c5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c8021e) TDO (00c6311f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c8047e) TDO (00c8021f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c8093e) TDO (00c8047f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c80c5e) TDO (00c8093f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c8111e) TDO (00c80c5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c8221e) TDO (00c8111f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c8247e) TDO (00c8221f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c8283e) TDO (00c8247f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c82c5e) TDO (00c8283f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c8311e) TDO (00c82c5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c8421e) TDO (00c8311f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c8447e) TDO (00c8421f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c8483e) TDO (00c8447f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c84c5e) TDO (00c8483f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c8511e) TDO (00c84c5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c8621e) TDO (00c8511f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c8647e) TDO (00c8621f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c8683e) TDO (00c8647f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c86d5e) TDO (00c8683f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c8711e) TDO (00c86d5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c8821e) TDO (00c8711f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c8847e) TDO (00c8821f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c888be) TDO (00c8847f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c88c5e) TDO (00c888bf) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c8911e) TDO (00c88c5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c8a23e) TDO (00c8911f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c8a47e) TDO (00c8a23f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c8a83e) TDO (00c8a47f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c8ac5e) TDO (00c8a83f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c8b11e) TDO (00c8ac5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c8c21e) TDO (00c8b11f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c8c47e) TDO (00c8c21f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c8c83e) TDO (00c8c47f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c8cc7e) TDO (00c8c83f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c8d11e) TDO (00c8cc7f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c8e21e) TDO (00c8d11f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c8e4fe) TDO (00c8e21f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c8e83e) TDO (00c8e4ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c8ec5e) TDO (00c8e83f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c8f11e) TDO (00c8ec5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c9021e) TDO (00c8f11f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c9047e) TDO (00c9021f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c9083e) TDO (00c9047f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c90c5e) TDO (00c9083f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c9113e) TDO (00c90c5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c9221e) TDO (00c9113f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c9247e) TDO (00c9221f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c9283e) TDO (00c9247f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c92c5e) TDO (00c9283f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c9311e) TDO (00c92c5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c9425e) TDO (00c9311f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c9447e) TDO (00c9425f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c9483e) TDO (00c9447f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c94c5e) TDO (00c9483f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c9511e) TDO (00c94c5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c9621e) TDO (00c9511f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c9647e) TDO (00c9621f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c9683e) TDO (00c9647f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c96c5e) TDO (00c9683f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c9715e) TDO (00c96c5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c9821e) TDO (00c9715f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c9847e) TDO (00c9821f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c9883e) TDO (00c9847f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c98c5e) TDO (00c9883f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c9911e) TDO (00c98c5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c9a21e) TDO (00c9911f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c9a47e) TDO (00c9a21f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c9a83e) TDO (00c9a47f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c9ac5e) TDO (00c9a83f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c9b11e) TDO (00c9ac5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c9c21e) TDO (00c9b11f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c9c47e) TDO (00c9c21f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c9c87e) TDO (00c9c47f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c9cc5e) TDO (00c9c87f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c9d11e) TDO (00c9cc5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c9e21e) TDO (00c9d11f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c9e47e) TDO (00c9e21f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c9e83e) TDO (00c9e47f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c9ec5e) TDO (00c9e83f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00c9f11e) TDO (00c9ec5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00ca021e) TDO (00c9f11f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00ca047e) TDO (00ca021f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00ca083e) TDO (00ca047f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00ca0c5e) TDO (00ca083f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00ca111e) TDO (00ca0c5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00ca229e) TDO (00ca111f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00ca247e) TDO (00ca229f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00ca283e) TDO (00ca247f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00ca2c5e) TDO (00ca283f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00ca311e) TDO (00ca2c5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00cc021e) TDO (00ca311f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00cc047e) TDO (00cc021f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00cc083e) TDO (00cc047f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00cc0c5e) TDO (00cc083f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00cc111e) TDO (00cc0c5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00cc221e) TDO (00cc111f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00cc247e) TDO (00cc221f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00cc283e) TDO (00cc247f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00cc2c5e) TDO (00cc283f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00cc311e) TDO (00cc2c5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00cc421e) TDO (00cc311f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00cc447e) TDO (00cc421f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00cc483e) TDO (00cc447f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00cc4c5e) TDO (00cc483f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00cc511e) TDO (00cc4c5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00cc621e) TDO (00cc511f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00cc647e) TDO (00cc621f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00cc683e) TDO (00cc647f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00cc6c5e) TDO (00cc683f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00cc711e) TDO (00cc6c5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00cc821e) TDO (00cc711f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00cc847e) TDO (00cc821f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00cc883e) TDO (00cc847f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00cc8c5e) TDO (00cc883f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00cc911e) TDO (00cc8c5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00cca21e) TDO (00cc911f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00cca47e) TDO (00cca21f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00cca83e) TDO (00cca47f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00ccac5e) TDO (00cca83f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00ccb11e) TDO (00ccac5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00ccc21e) TDO (00ccb11f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00ccc47e) TDO (00ccc21f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00ccc83e) TDO (00ccc47f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00cccc5e) TDO (00ccc83f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00ccd11e) TDO (00cccc5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00cce21e) TDO (00ccd11f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00cce47e) TDO (00cce21f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00cce83e) TDO (00cce47f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00ccec5e) TDO (00cce83f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00ccf11e) TDO (00ccec5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00cd021e) TDO (00ccf11f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00cd047e) TDO (00cd021f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00cd083e) TDO (00cd047f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00cd0c5e) TDO (00cd083f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00cd111e) TDO (00cd0c5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00cd221e) TDO (00cd111f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00cd247e) TDO (00cd221f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00cd283e) TDO (00cd247f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00cd2c5e) TDO (00cd283f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00cd311e) TDO (00cd2c5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00cd421e) TDO (00cd311f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00cd447e) TDO (00cd421f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00cd483e) TDO (00cd447f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00cd4c5e) TDO (00cd483f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00cd511e) TDO (00cd4c5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00cd621e) TDO (00cd511f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00cd647e) TDO (00cd621f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00cd683e) TDO (00cd647f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00cd6c5e) TDO (00cd683f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00cd711e) TDO (00cd6c5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00cd821e) TDO (00cd711f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00cd847e) TDO (00cd821f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00cd883e) TDO (00cd847f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00cd8c5e) TDO (00cd883f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00cd911e) TDO (00cd8c5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00cda21e) TDO (00cd911f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00cda47e) TDO (00cda21f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00cda83e) TDO (00cda47f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00cdac5e) TDO (00cda83f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00cdb11e) TDO (00cdac5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00cdc21e) TDO (00cdb11f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00cdc47e) TDO (00cdc21f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00cdc83e) TDO (00cdc47f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00cdcc5e) TDO (00cdc83f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00cdd11e) TDO (00cdcc5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00cde21e) TDO (00cdd11f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00cde47e) TDO (00cde21f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00cde83e) TDO (00cde47f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00cdec5e) TDO (00cde83f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00cdf11e) TDO (00cdec5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00ce021e) TDO (00cdf11f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00ce047e) TDO (00ce021f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00ce083e) TDO (00ce047f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00ce0c5e) TDO (00ce083f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00ce111e) TDO (00ce0c5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00ce221e) TDO (00ce111f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00ce247e) TDO (00ce221f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00ce283e) TDO (00ce247f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00ce2c5e) TDO (00ce283f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00ce311e) TDO (00ce2c5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d0021e) TDO (00ce311f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d0047e) TDO (00d0021f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d0083e) TDO (00d0047f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d00c5e) TDO (00d0083f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d0119e) TDO (00d00c5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d0221e) TDO (00d0119f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d0247e) TDO (00d0221f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d0283e) TDO (00d0247f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d02c5e) TDO (00d0283f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d0311e) TDO (00d02c5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d0421e) TDO (00d0311f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d0447e) TDO (00d0421f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d0483e) TDO (00d0447f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d04c5e) TDO (00d0483f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d0511e) TDO (00d04c5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d0621e) TDO (00d0511f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d0647e) TDO (00d0621f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d0683e) TDO (00d0647f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d06c5e) TDO (00d0683f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d0711e) TDO (00d06c5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d0821e) TDO (00d0711f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d0847e) TDO (00d0821f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d0883e) TDO (00d0847f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d08c5e) TDO (00d0883f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d0911e) TDO (00d08c5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d0a21e) TDO (00d0911f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d0a47e) TDO (00d0a21f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d0a83e) TDO (00d0a47f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d0ac5e) TDO (00d0a83f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d0b11e) TDO (00d0ac5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d0c31e) TDO (00d0b11f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d0c47e) TDO (00d0c31f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d0c83e) TDO (00d0c47f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d0cc5e) TDO (00d0c83f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d0d11e) TDO (00d0cc5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d0e21e) TDO (00d0d11f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d0e47e) TDO (00d0e21f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d0e83e) TDO (00d0e47f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d0ec5e) TDO (00d0e83f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d0f11e) TDO (00d0ec5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d1021e) TDO (00d0f11f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d1047e) TDO (00d1021f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d1083e) TDO (00d1047f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d10c5e) TDO (00d1083f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d1111e) TDO (00d10c5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d1221e) TDO (00d1111f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d1257e) TDO (00d1221f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d1283e) TDO (00d1257f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d12c5e) TDO (00d1283f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d1311e) TDO (00d12c5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d1421e) TDO (00d1311f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d1447e) TDO (00d1421f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d1483e) TDO (00d1447f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d14c5e) TDO (00d1483f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d1511e) TDO (00d14c5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d1621e) TDO (00d1511f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d1647e) TDO (00d1621f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d1683e) TDO (00d1647f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d16cde) TDO (00d1683f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d1711e) TDO (00d16cdf) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d1821e) TDO (00d1711f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d1847e) TDO (00d1821f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d1883e) TDO (00d1847f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d18c5e) TDO (00d1883f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d1911e) TDO (00d18c5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d1a21e) TDO (00d1911f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d1a47e) TDO (00d1a21f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d1a83e) TDO (00d1a47f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d1ac5e) TDO (00d1a83f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d1b11e) TDO (00d1ac5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d1c21e) TDO (00d1b11f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d1c47e) TDO (00d1c21f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d1c83e) TDO (00d1c47f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d1cc5e) TDO (00d1c83f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d1d11e) TDO (00d1cc5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d1e21e) TDO (00d1d11f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d1e47e) TDO (00d1e21f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d1e83e) TDO (00d1e47f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d1ec5e) TDO (00d1e83f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d1f11e) TDO (00d1ec5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d2021e) TDO (00d1f11f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d2047e) TDO (00d2021f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d2083e) TDO (00d2047f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d20c5e) TDO (00d2083f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d2111e) TDO (00d20c5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d2221e) TDO (00d2111f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d2247e) TDO (00d2221f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d2283e) TDO (00d2247f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d22c5e) TDO (00d2283f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d2311e) TDO (00d22c5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d4021e) TDO (00d2311f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d4047e) TDO (00d4021f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d4083e) TDO (00d4047f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d40c5e) TDO (00d4083f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d4111e) TDO (00d40c5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d4221e) TDO (00d4111f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d4247e) TDO (00d4221f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d4283e) TDO (00d4247f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d42c5e) TDO (00d4283f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d4311e) TDO (00d42c5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d4421e) TDO (00d4311f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d4447e) TDO (00d4421f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d4483e) TDO (00d4447f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d44c5e) TDO (00d4483f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d4511e) TDO (00d44c5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d4621e) TDO (00d4511f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d4647e) TDO (00d4621f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d4683e) TDO (00d4647f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d46c5e) TDO (00d4683f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d4711e) TDO (00d46c5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d4821e) TDO (00d4711f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d4847e) TDO (00d4821f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d4883e) TDO (00d4847f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d48c5e) TDO (00d4883f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d4911e) TDO (00d48c5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d4a21e) TDO (00d4911f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d4a47e) TDO (00d4a21f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d4a83e) TDO (00d4a47f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d4ac5e) TDO (00d4a83f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d4b11e) TDO (00d4ac5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d4c21e) TDO (00d4b11f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d4c47e) TDO (00d4c21f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d4c83e) TDO (00d4c47f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d4cc5e) TDO (00d4c83f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d4d11e) TDO (00d4cc5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d4e21e) TDO (00d4d11f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d4e47e) TDO (00d4e21f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d4e83e) TDO (00d4e47f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d4ec5e) TDO (00d4e83f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d4f11e) TDO (00d4ec5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d5021e) TDO (00d4f11f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d5047e) TDO (00d5021f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d5083e) TDO (00d5047f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d50c5e) TDO (00d5083f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d5111e) TDO (00d50c5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d5221e) TDO (00d5111f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d5247e) TDO (00d5221f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d5283e) TDO (00d5247f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d52c5e) TDO (00d5283f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d5311e) TDO (00d52c5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d5421e) TDO (00d5311f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d5447e) TDO (00d5421f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d5483e) TDO (00d5447f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d54c5e) TDO (00d5483f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d5511e) TDO (00d54c5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d5621e) TDO (00d5511f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d5647e) TDO (00d5621f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d5683e) TDO (00d5647f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d56c5e) TDO (00d5683f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d5711e) TDO (00d56c5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d5821e) TDO (00d5711f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d5847e) TDO (00d5821f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d5883e) TDO (00d5847f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d58c5e) TDO (00d5883f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d5911e) TDO (00d58c5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d5a21e) TDO (00d5911f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d5a47e) TDO (00d5a21f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d5a83e) TDO (00d5a47f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d5ac5e) TDO (00d5a83f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d5b11e) TDO (00d5ac5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d5c21e) TDO (00d5b11f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d5c47e) TDO (00d5c21f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d5c83e) TDO (00d5c47f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d5cc5e) TDO (00d5c83f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d5d11e) TDO (00d5cc5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d5e21e) TDO (00d5d11f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d5e47e) TDO (00d5e21f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d5e83e) TDO (00d5e47f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d5ec5e) TDO (00d5e83f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d5f11e) TDO (00d5ec5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d6021e) TDO (00d5f11f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d6047e) TDO (00d6021f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d6083e) TDO (00d6047f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d60c5e) TDO (00d6083f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d6111e) TDO (00d60c5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d6221e) TDO (00d6111f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d6247e) TDO (00d6221f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d6283e) TDO (00d6247f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d62c5e) TDO (00d6283f) ;
RUNTEST 1 TCK;
SDR 27 TDI (00d6311e) TDO (00d62c5f) ;
RUNTEST 1 TCK;
SDR 27 TDI (01000302) TDO (00d6311f) ;
RUNTEST 1 TCK;
SDR 27 TDI (01000702) TDO (01000303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01000b02) TDO (01000703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01000f02) TDO (01000b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01001302) TDO (01000f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01002302) TDO (01001303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01002702) TDO (01002303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01002b02) TDO (01002703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01002f02) TDO (01002b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01003002) TDO (01002f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01004002) TDO (01003003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01004402) TDO (01004003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01004802) TDO (01004403) ;
RUNTEST 1 TCK;
SDR 27 TDI (01004c02) TDO (01004803) ;
RUNTEST 1 TCK;
SDR 27 TDI (01005002) TDO (01004c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01008302) TDO (01005003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01008742) TDO (01008303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01008b02) TDO (01008743) ;
RUNTEST 1 TCK;
SDR 27 TDI (01008f32) TDO (01008b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01009306) TDO (01008f33) ;
RUNTEST 1 TCK;
SDR 27 TDI (0100a302) TDO (01009307) ;
RUNTEST 1 TCK;
SDR 27 TDI (0100a71a) TDO (0100a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0100ab12) TDO (0100a71b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0100af52) TDO (0100ab13) ;
RUNTEST 1 TCK;
SDR 27 TDI (0100b002) TDO (0100af53) ;
RUNTEST 1 TCK;
SDR 27 TDI (0100c002) TDO (0100b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0100c402) TDO (0100c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0100c80a) TDO (0100c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0100cc02) TDO (0100c80b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0100d012) TDO (0100cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01010302) TDO (0100d013) ;
RUNTEST 1 TCK;
SDR 27 TDI (01010702) TDO (01010303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01010b02) TDO (01010703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01010f02) TDO (01010b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01011302) TDO (01010f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01012302) TDO (01011303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01012702) TDO (01012303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01012b02) TDO (01012703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01012f02) TDO (01012b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01013002) TDO (01012f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01014002) TDO (01013003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01014402) TDO (01014003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01014802) TDO (01014403) ;
RUNTEST 1 TCK;
SDR 27 TDI (01014c02) TDO (01014803) ;
RUNTEST 1 TCK;
SDR 27 TDI (01015002) TDO (01014c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0101830e) TDO (01015003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01018702) TDO (0101830f) ;
RUNTEST 1 TCK;
SDR 27 TDI (01018b02) TDO (01018703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01018f02) TDO (01018b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01019302) TDO (01018f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0101a302) TDO (01019303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0101a702) TDO (0101a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0101ab02) TDO (0101a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0101af02) TDO (0101ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0101b002) TDO (0101af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0101c002) TDO (0101b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0101c402) TDO (0101c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0101c802) TDO (0101c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0101cc02) TDO (0101c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0101d002) TDO (0101cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01020302) TDO (0101d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01020702) TDO (01020303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01020b02) TDO (01020703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01020f02) TDO (01020b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01021302) TDO (01020f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01022302) TDO (01021303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0102271a) TDO (01022303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01022b12) TDO (0102271b) ;
RUNTEST 1 TCK;
SDR 27 TDI (01022f12) TDO (01022b13) ;
RUNTEST 1 TCK;
SDR 27 TDI (01023002) TDO (01022f13) ;
RUNTEST 1 TCK;
SDR 27 TDI (01024002) TDO (01023003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01024402) TDO (01024003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01024802) TDO (01024403) ;
RUNTEST 1 TCK;
SDR 27 TDI (01024c02) TDO (01024803) ;
RUNTEST 1 TCK;
SDR 27 TDI (01025002) TDO (01024c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01028322) TDO (01025003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01028716) TDO (01028323) ;
RUNTEST 1 TCK;
SDR 27 TDI (01028b02) TDO (01028717) ;
RUNTEST 1 TCK;
SDR 27 TDI (01028f02) TDO (01028b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01029302) TDO (01028f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0102a342) TDO (01029303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0102a702) TDO (0102a343) ;
RUNTEST 1 TCK;
SDR 27 TDI (0102ab02) TDO (0102a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0102af02) TDO (0102ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0102b002) TDO (0102af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0102c002) TDO (0102b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0102c41a) TDO (0102c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0102c822) TDO (0102c41b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0102cc02) TDO (0102c823) ;
RUNTEST 1 TCK;
SDR 27 TDI (0102d002) TDO (0102cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01030302) TDO (0102d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01030702) TDO (01030303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01030b02) TDO (01030703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01030f02) TDO (01030b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01031302) TDO (01030f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01032302) TDO (01031303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01032702) TDO (01032303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01032b02) TDO (01032703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01032f02) TDO (01032b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01033002) TDO (01032f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01034002) TDO (01033003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01034402) TDO (01034003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01034802) TDO (01034403) ;
RUNTEST 1 TCK;
SDR 27 TDI (01034c02) TDO (01034803) ;
RUNTEST 1 TCK;
SDR 27 TDI (01035002) TDO (01034c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01038302) TDO (01035003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01038702) TDO (01038303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01038b8a) TDO (01038703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01038f02) TDO (01038b8b) ;
RUNTEST 1 TCK;
SDR 27 TDI (01039302) TDO (01038f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0103a302) TDO (01039303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0103a702) TDO (0103a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0103ab06) TDO (0103a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0103af06) TDO (0103ab07) ;
RUNTEST 1 TCK;
SDR 27 TDI (0103b006) TDO (0103af07) ;
RUNTEST 1 TCK;
SDR 27 TDI (0103c002) TDO (0103b007) ;
RUNTEST 1 TCK;
SDR 27 TDI (0103c402) TDO (0103c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0103c802) TDO (0103c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0103cc02) TDO (0103c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0103d002) TDO (0103cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01040302) TDO (0103d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01040702) TDO (01040303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01040b02) TDO (01040703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01040f02) TDO (01040b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01041302) TDO (01040f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01042302) TDO (01041303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01042702) TDO (01042303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01042b02) TDO (01042703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01042f02) TDO (01042b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01043002) TDO (01042f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01044002) TDO (01043003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01044402) TDO (01044003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01044802) TDO (01044403) ;
RUNTEST 1 TCK;
SDR 27 TDI (01044c02) TDO (01044803) ;
RUNTEST 1 TCK;
SDR 27 TDI (01045002) TDO (01044c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01048312) TDO (01045003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01048702) TDO (01048313) ;
RUNTEST 1 TCK;
SDR 27 TDI (01048b02) TDO (01048703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01048f02) TDO (01048b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01049302) TDO (01048f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0104a3be) TDO (01049303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0104a702) TDO (0104a3bf) ;
RUNTEST 1 TCK;
SDR 27 TDI (0104ab02) TDO (0104a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0104af02) TDO (0104ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0104b002) TDO (0104af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0104c0fe) TDO (0104b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0104c402) TDO (0104c0ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0104c802) TDO (0104c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0104cc02) TDO (0104c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0104d002) TDO (0104cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01050302) TDO (0104d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01050702) TDO (01050303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01050b02) TDO (01050703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01050f02) TDO (01050b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01051302) TDO (01050f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01052302) TDO (01051303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01052702) TDO (01052303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01052b02) TDO (01052703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01052f02) TDO (01052b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01053002) TDO (01052f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01054002) TDO (01053003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01054402) TDO (01054003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01054802) TDO (01054403) ;
RUNTEST 1 TCK;
SDR 27 TDI (01054c02) TDO (01054803) ;
RUNTEST 1 TCK;
SDR 27 TDI (01055002) TDO (01054c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01058302) TDO (01055003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0105870a) TDO (01058303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01058b82) TDO (0105870b) ;
RUNTEST 1 TCK;
SDR 27 TDI (01058f02) TDO (01058b83) ;
RUNTEST 1 TCK;
SDR 27 TDI (01059302) TDO (01058f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0105a302) TDO (01059303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0105a702) TDO (0105a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0105ab02) TDO (0105a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0105af02) TDO (0105ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0105b002) TDO (0105af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0105c002) TDO (0105b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0105c402) TDO (0105c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0105c806) TDO (0105c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0105cc06) TDO (0105c807) ;
RUNTEST 1 TCK;
SDR 27 TDI (0105d002) TDO (0105cc07) ;
RUNTEST 1 TCK;
SDR 27 TDI (01060202) TDO (0105d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01060402) TDO (01060203) ;
RUNTEST 1 TCK;
SDR 27 TDI (01060802) TDO (01060403) ;
RUNTEST 1 TCK;
SDR 27 TDI (01060e02) TDO (01060803) ;
RUNTEST 1 TCK;
SDR 27 TDI (01061202) TDO (01060e03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01062002) TDO (01061203) ;
RUNTEST 1 TCK;
SDR 27 TDI (01062602) TDO (01062003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01062802) TDO (01062603) ;
RUNTEST 1 TCK;
SDR 27 TDI (01062c02) TDO (01062803) ;
RUNTEST 1 TCK;
SDR 27 TDI (01063002) TDO (01062c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01064002) TDO (01063003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01064402) TDO (01064003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01064802) TDO (01064403) ;
RUNTEST 1 TCK;
SDR 27 TDI (01064c02) TDO (01064803) ;
RUNTEST 1 TCK;
SDR 27 TDI (01065002) TDO (01064c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01068106) TDO (01065003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01068402) TDO (01068107) ;
RUNTEST 1 TCK;
SDR 27 TDI (01068802) TDO (01068403) ;
RUNTEST 1 TCK;
SDR 27 TDI (01068d02) TDO (01068803) ;
RUNTEST 1 TCK;
SDR 27 TDI (01069002) TDO (01068d03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0106a002) TDO (01069003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0106a402) TDO (0106a003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0106a802) TDO (0106a403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0106ac02) TDO (0106a803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0106b002) TDO (0106ac03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0106c002) TDO (0106b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0106c402) TDO (0106c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0106c802) TDO (0106c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0106cc02) TDO (0106c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0106d002) TDO (0106cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01070102) TDO (0106d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01070402) TDO (01070103) ;
RUNTEST 1 TCK;
SDR 27 TDI (0107088a) TDO (01070403) ;
RUNTEST 1 TCK;
SDR 27 TDI (01070f02) TDO (0107088b) ;
RUNTEST 1 TCK;
SDR 27 TDI (01071202) TDO (01070f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01072002) TDO (01071203) ;
RUNTEST 1 TCK;
SDR 27 TDI (01072402) TDO (01072003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01072802) TDO (01072403) ;
RUNTEST 1 TCK;
SDR 27 TDI (01072c02) TDO (01072803) ;
RUNTEST 1 TCK;
SDR 27 TDI (01073002) TDO (01072c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01074002) TDO (01073003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01074402) TDO (01074003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01074802) TDO (01074403) ;
RUNTEST 1 TCK;
SDR 27 TDI (01074c02) TDO (01074803) ;
RUNTEST 1 TCK;
SDR 27 TDI (01075006) TDO (01074c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01078002) TDO (01075007) ;
RUNTEST 1 TCK;
SDR 27 TDI (01078602) TDO (01078003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01078a02) TDO (01078603) ;
RUNTEST 1 TCK;
SDR 27 TDI (01078c02) TDO (01078a03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01079102) TDO (01078c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0107a302) TDO (01079103) ;
RUNTEST 1 TCK;
SDR 27 TDI (0107a502) TDO (0107a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0107a902) TDO (0107a503) ;
RUNTEST 1 TCK;
SDR 27 TDI (0107af86) TDO (0107a903) ;
RUNTEST 1 TCK;
SDR 27 TDI (0107b002) TDO (0107af87) ;
RUNTEST 1 TCK;
SDR 27 TDI (0107c002) TDO (0107b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0107c402) TDO (0107c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0107c802) TDO (0107c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0107cc06) TDO (0107c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0107d002) TDO (0107cc07) ;
RUNTEST 1 TCK;
SDR 27 TDI (01080302) TDO (0107d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01080442) TDO (01080303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01080802) TDO (01080443) ;
RUNTEST 1 TCK;
SDR 27 TDI (01080f32) TDO (01080803) ;
RUNTEST 1 TCK;
SDR 27 TDI (01081306) TDO (01080f33) ;
RUNTEST 1 TCK;
SDR 27 TDI (01082002) TDO (01081307) ;
RUNTEST 1 TCK;
SDR 27 TDI (01082602) TDO (01082003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01082802) TDO (01082603) ;
RUNTEST 1 TCK;
SDR 27 TDI (01082c42) TDO (01082803) ;
RUNTEST 1 TCK;
SDR 27 TDI (01083002) TDO (01082c43) ;
RUNTEST 1 TCK;
SDR 27 TDI (01084002) TDO (01083003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01084402) TDO (01084003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0108480a) TDO (01084403) ;
RUNTEST 1 TCK;
SDR 27 TDI (01084c02) TDO (0108480b) ;
RUNTEST 1 TCK;
SDR 27 TDI (01085012) TDO (01084c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01088002) TDO (01085013) ;
RUNTEST 1 TCK;
SDR 27 TDI (01088702) TDO (01088003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01088b02) TDO (01088703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01088e02) TDO (01088b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01089102) TDO (01088e03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0108a302) TDO (01089103) ;
RUNTEST 1 TCK;
SDR 27 TDI (0108a50a) TDO (0108a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0108ab12) TDO (0108a50b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0108af02) TDO (0108ab13) ;
RUNTEST 1 TCK;
SDR 27 TDI (0108b002) TDO (0108af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0108c002) TDO (0108b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0108c402) TDO (0108c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0108c802) TDO (0108c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0108cc02) TDO (0108c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0108d002) TDO (0108cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01090302) TDO (0108d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01090402) TDO (01090303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01090802) TDO (01090403) ;
RUNTEST 1 TCK;
SDR 27 TDI (01090f02) TDO (01090803) ;
RUNTEST 1 TCK;
SDR 27 TDI (01091302) TDO (01090f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01092102) TDO (01091303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0109261a) TDO (01092103) ;
RUNTEST 1 TCK;
SDR 27 TDI (01092812) TDO (0109261b) ;
RUNTEST 1 TCK;
SDR 27 TDI (01092c12) TDO (01092813) ;
RUNTEST 1 TCK;
SDR 27 TDI (01093002) TDO (01092c13) ;
RUNTEST 1 TCK;
SDR 27 TDI (01094002) TDO (01093003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01094402) TDO (01094003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0109480a) TDO (01094403) ;
RUNTEST 1 TCK;
SDR 27 TDI (01094c02) TDO (0109480b) ;
RUNTEST 1 TCK;
SDR 27 TDI (01095002) TDO (01094c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01098002) TDO (01095003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01098702) TDO (01098003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01098b12) TDO (01098703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01098f02) TDO (01098b13) ;
RUNTEST 1 TCK;
SDR 27 TDI (01099102) TDO (01098f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0109a302) TDO (01099103) ;
RUNTEST 1 TCK;
SDR 27 TDI (0109a702) TDO (0109a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0109ab22) TDO (0109a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0109af02) TDO (0109ab23) ;
RUNTEST 1 TCK;
SDR 27 TDI (0109b002) TDO (0109af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0109c002) TDO (0109b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0109c482) TDO (0109c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0109c852) TDO (0109c483) ;
RUNTEST 1 TCK;
SDR 27 TDI (0109cc22) TDO (0109c853) ;
RUNTEST 1 TCK;
SDR 27 TDI (0109d002) TDO (0109cc23) ;
RUNTEST 1 TCK;
SDR 27 TDI (010a0302) TDO (0109d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (010a0402) TDO (010a0303) ;
RUNTEST 1 TCK;
SDR 27 TDI (010a0902) TDO (010a0403) ;
RUNTEST 1 TCK;
SDR 27 TDI (010a0f02) TDO (010a0903) ;
RUNTEST 1 TCK;
SDR 27 TDI (010a1302) TDO (010a0f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (010a2102) TDO (010a1303) ;
RUNTEST 1 TCK;
SDR 27 TDI (010a2702) TDO (010a2103) ;
RUNTEST 1 TCK;
SDR 27 TDI (010a2b86) TDO (010a2703) ;
RUNTEST 1 TCK;
SDR 27 TDI (010a2c02) TDO (010a2b87) ;
RUNTEST 1 TCK;
SDR 27 TDI (010a3002) TDO (010a2c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (010a4002) TDO (010a3003) ;
RUNTEST 1 TCK;
SDR 27 TDI (010a4402) TDO (010a4003) ;
RUNTEST 1 TCK;
SDR 27 TDI (010a4806) TDO (010a4403) ;
RUNTEST 1 TCK;
SDR 27 TDI (010a4c02) TDO (010a4807) ;
RUNTEST 1 TCK;
SDR 27 TDI (010a5002) TDO (010a4c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (010a8202) TDO (010a5003) ;
RUNTEST 1 TCK;
SDR 27 TDI (010a8702) TDO (010a8203) ;
RUNTEST 1 TCK;
SDR 27 TDI (010a8a8a) TDO (010a8703) ;
RUNTEST 1 TCK;
SDR 27 TDI (010a8f02) TDO (010a8a8b) ;
RUNTEST 1 TCK;
SDR 27 TDI (010a9302) TDO (010a8f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (010aa302) TDO (010a9303) ;
RUNTEST 1 TCK;
SDR 27 TDI (010aa702) TDO (010aa303) ;
RUNTEST 1 TCK;
SDR 27 TDI (010aa902) TDO (010aa703) ;
RUNTEST 1 TCK;
SDR 27 TDI (010aaf02) TDO (010aa903) ;
RUNTEST 1 TCK;
SDR 27 TDI (010ab002) TDO (010aaf03) ;
RUNTEST 1 TCK;
SDR 27 TDI (010ac002) TDO (010ab003) ;
RUNTEST 1 TCK;
SDR 27 TDI (010ac402) TDO (010ac003) ;
RUNTEST 1 TCK;
SDR 27 TDI (010ac802) TDO (010ac403) ;
RUNTEST 1 TCK;
SDR 27 TDI (010acc02) TDO (010ac803) ;
RUNTEST 1 TCK;
SDR 27 TDI (010ad006) TDO (010acc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (010b0102) TDO (010ad007) ;
RUNTEST 1 TCK;
SDR 27 TDI (010b0502) TDO (010b0103) ;
RUNTEST 1 TCK;
SDR 27 TDI (010b0902) TDO (010b0503) ;
RUNTEST 1 TCK;
SDR 27 TDI (010b0f12) TDO (010b0903) ;
RUNTEST 1 TCK;
SDR 27 TDI (010b1302) TDO (010b0f13) ;
RUNTEST 1 TCK;
SDR 27 TDI (010b2002) TDO (010b1303) ;
RUNTEST 1 TCK;
SDR 27 TDI (010b261a) TDO (010b2003) ;
RUNTEST 1 TCK;
SDR 27 TDI (010b2b12) TDO (010b261b) ;
RUNTEST 1 TCK;
SDR 27 TDI (010b2c12) TDO (010b2b13) ;
RUNTEST 1 TCK;
SDR 27 TDI (010b3002) TDO (010b2c13) ;
RUNTEST 1 TCK;
SDR 27 TDI (010b4002) TDO (010b3003) ;
RUNTEST 1 TCK;
SDR 27 TDI (010b4402) TDO (010b4003) ;
RUNTEST 1 TCK;
SDR 27 TDI (010b480a) TDO (010b4403) ;
RUNTEST 1 TCK;
SDR 27 TDI (010b4c02) TDO (010b480b) ;
RUNTEST 1 TCK;
SDR 27 TDI (010b5002) TDO (010b4c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (010b8302) TDO (010b5003) ;
RUNTEST 1 TCK;
SDR 27 TDI (010b8502) TDO (010b8303) ;
RUNTEST 1 TCK;
SDR 27 TDI (010b8b02) TDO (010b8503) ;
RUNTEST 1 TCK;
SDR 27 TDI (010b8f02) TDO (010b8b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (010b9302) TDO (010b8f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (010ba102) TDO (010b9303) ;
RUNTEST 1 TCK;
SDR 27 TDI (010ba702) TDO (010ba103) ;
RUNTEST 1 TCK;
SDR 27 TDI (010ba902) TDO (010ba703) ;
RUNTEST 1 TCK;
SDR 27 TDI (010bad22) TDO (010ba903) ;
RUNTEST 1 TCK;
SDR 27 TDI (010bb002) TDO (010bad23) ;
RUNTEST 1 TCK;
SDR 27 TDI (010bc002) TDO (010bb003) ;
RUNTEST 1 TCK;
SDR 27 TDI (010bc402) TDO (010bc003) ;
RUNTEST 1 TCK;
SDR 27 TDI (010bc882) TDO (010bc403) ;
RUNTEST 1 TCK;
SDR 27 TDI (010bcc52) TDO (010bc883) ;
RUNTEST 1 TCK;
SDR 27 TDI (010bd022) TDO (010bcc53) ;
RUNTEST 1 TCK;
SDR 27 TDI (010c0302) TDO (010bd023) ;
RUNTEST 1 TCK;
SDR 27 TDI (010c0642) TDO (010c0303) ;
RUNTEST 1 TCK;
SDR 27 TDI (010c0802) TDO (010c0643) ;
RUNTEST 1 TCK;
SDR 27 TDI (010c0f32) TDO (010c0803) ;
RUNTEST 1 TCK;
SDR 27 TDI (010c1306) TDO (010c0f33) ;
RUNTEST 1 TCK;
SDR 27 TDI (010c2302) TDO (010c1307) ;
RUNTEST 1 TCK;
SDR 27 TDI (010c270a) TDO (010c2303) ;
RUNTEST 1 TCK;
SDR 27 TDI (010c2b02) TDO (010c270b) ;
RUNTEST 1 TCK;
SDR 27 TDI (010c2d42) TDO (010c2b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (010c3002) TDO (010c2d43) ;
RUNTEST 1 TCK;
SDR 27 TDI (010c4002) TDO (010c3003) ;
RUNTEST 1 TCK;
SDR 27 TDI (010c4402) TDO (010c4003) ;
RUNTEST 1 TCK;
SDR 27 TDI (010c480a) TDO (010c4403) ;
RUNTEST 1 TCK;
SDR 27 TDI (010c4c02) TDO (010c480b) ;
RUNTEST 1 TCK;
SDR 27 TDI (010c5012) TDO (010c4c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (010c8202) TDO (010c5013) ;
RUNTEST 1 TCK;
SDR 27 TDI (010c8702) TDO (010c8203) ;
RUNTEST 1 TCK;
SDR 27 TDI (010c8a02) TDO (010c8703) ;
RUNTEST 1 TCK;
SDR 27 TDI (010c8c02) TDO (010c8a03) ;
RUNTEST 1 TCK;
SDR 27 TDI (010c9302) TDO (010c8c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (010ca302) TDO (010c9303) ;
RUNTEST 1 TCK;
SDR 27 TDI (010ca712) TDO (010ca303) ;
RUNTEST 1 TCK;
SDR 27 TDI (010cab02) TDO (010ca713) ;
RUNTEST 1 TCK;
SDR 27 TDI (010caf02) TDO (010cab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (010cb002) TDO (010caf03) ;
RUNTEST 1 TCK;
SDR 27 TDI (010cc002) TDO (010cb003) ;
RUNTEST 1 TCK;
SDR 27 TDI (010cc402) TDO (010cc003) ;
RUNTEST 1 TCK;
SDR 27 TDI (010cc802) TDO (010cc403) ;
RUNTEST 1 TCK;
SDR 27 TDI (010ccc02) TDO (010cc803) ;
RUNTEST 1 TCK;
SDR 27 TDI (010cd002) TDO (010ccc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (010d0042) TDO (010cd003) ;
RUNTEST 1 TCK;
SDR 27 TDI (010d0402) TDO (010d0043) ;
RUNTEST 1 TCK;
SDR 27 TDI (010d0826) TDO (010d0403) ;
RUNTEST 1 TCK;
SDR 27 TDI (010d0e02) TDO (010d0827) ;
RUNTEST 1 TCK;
SDR 27 TDI (010d1202) TDO (010d0e03) ;
RUNTEST 1 TCK;
SDR 27 TDI (010d2002) TDO (010d1203) ;
RUNTEST 1 TCK;
SDR 27 TDI (010d2442) TDO (010d2003) ;
RUNTEST 1 TCK;
SDR 27 TDI (010d2802) TDO (010d2443) ;
RUNTEST 1 TCK;
SDR 27 TDI (010d2c02) TDO (010d2803) ;
RUNTEST 1 TCK;
SDR 27 TDI (010d3002) TDO (010d2c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (010d4002) TDO (010d3003) ;
RUNTEST 1 TCK;
SDR 27 TDI (010d4402) TDO (010d4003) ;
RUNTEST 1 TCK;
SDR 27 TDI (010d4802) TDO (010d4403) ;
RUNTEST 1 TCK;
SDR 27 TDI (010d4c02) TDO (010d4803) ;
RUNTEST 1 TCK;
SDR 27 TDI (010d5002) TDO (010d4c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (010d8102) TDO (010d5003) ;
RUNTEST 1 TCK;
SDR 27 TDI (010d8602) TDO (010d8103) ;
RUNTEST 1 TCK;
SDR 27 TDI (010d8a02) TDO (010d8603) ;
RUNTEST 1 TCK;
SDR 27 TDI (010d8f12) TDO (010d8a03) ;
RUNTEST 1 TCK;
SDR 27 TDI (010d9302) TDO (010d8f13) ;
RUNTEST 1 TCK;
SDR 27 TDI (010da302) TDO (010d9303) ;
RUNTEST 1 TCK;
SDR 27 TDI (010da51a) TDO (010da303) ;
RUNTEST 1 TCK;
SDR 27 TDI (010da912) TDO (010da51b) ;
RUNTEST 1 TCK;
SDR 27 TDI (010daf12) TDO (010da913) ;
RUNTEST 1 TCK;
SDR 27 TDI (010db002) TDO (010daf13) ;
RUNTEST 1 TCK;
SDR 27 TDI (010dc002) TDO (010db003) ;
RUNTEST 1 TCK;
SDR 27 TDI (010dc402) TDO (010dc003) ;
RUNTEST 1 TCK;
SDR 27 TDI (010dc80a) TDO (010dc403) ;
RUNTEST 1 TCK;
SDR 27 TDI (010dcc02) TDO (010dc80b) ;
RUNTEST 1 TCK;
SDR 27 TDI (010dd012) TDO (010dcc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (010e0302) TDO (010dd013) ;
RUNTEST 1 TCK;
SDR 27 TDI (010e0702) TDO (010e0303) ;
RUNTEST 1 TCK;
SDR 27 TDI (010e0b02) TDO (010e0703) ;
RUNTEST 1 TCK;
SDR 27 TDI (010e0f12) TDO (010e0b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (010e1302) TDO (010e0f13) ;
RUNTEST 1 TCK;
SDR 27 TDI (010e2302) TDO (010e1303) ;
RUNTEST 1 TCK;
SDR 27 TDI (010e271a) TDO (010e2303) ;
RUNTEST 1 TCK;
SDR 27 TDI (010e2b12) TDO (010e271b) ;
RUNTEST 1 TCK;
SDR 27 TDI (010e2f12) TDO (010e2b13) ;
RUNTEST 1 TCK;
SDR 27 TDI (010e3002) TDO (010e2f13) ;
RUNTEST 1 TCK;
SDR 27 TDI (010e4002) TDO (010e3003) ;
RUNTEST 1 TCK;
SDR 27 TDI (010e4402) TDO (010e4003) ;
RUNTEST 1 TCK;
SDR 27 TDI (010e480a) TDO (010e4403) ;
RUNTEST 1 TCK;
SDR 27 TDI (010e4c02) TDO (010e480b) ;
RUNTEST 1 TCK;
SDR 27 TDI (010e5012) TDO (010e4c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (010e8302) TDO (010e5013) ;
RUNTEST 1 TCK;
SDR 27 TDI (010e8702) TDO (010e8303) ;
RUNTEST 1 TCK;
SDR 27 TDI (010e8b02) TDO (010e8703) ;
RUNTEST 1 TCK;
SDR 27 TDI (010e8f02) TDO (010e8b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (010e9302) TDO (010e8f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (010ea302) TDO (010e9303) ;
RUNTEST 1 TCK;
SDR 27 TDI (010ea722) TDO (010ea303) ;
RUNTEST 1 TCK;
SDR 27 TDI (010eab02) TDO (010ea723) ;
RUNTEST 1 TCK;
SDR 27 TDI (010eaf02) TDO (010eab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (010eb082) TDO (010eaf03) ;
RUNTEST 1 TCK;
SDR 27 TDI (010ec002) TDO (010eb083) ;
RUNTEST 1 TCK;
SDR 27 TDI (010ec462) TDO (010ec003) ;
RUNTEST 1 TCK;
SDR 27 TDI (010ec802) TDO (010ec463) ;
RUNTEST 1 TCK;
SDR 27 TDI (010ecc02) TDO (010ec803) ;
RUNTEST 1 TCK;
SDR 27 TDI (010ed002) TDO (010ecc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (010f0302) TDO (010ed003) ;
RUNTEST 1 TCK;
SDR 27 TDI (010f0702) TDO (010f0303) ;
RUNTEST 1 TCK;
SDR 27 TDI (010f0b02) TDO (010f0703) ;
RUNTEST 1 TCK;
SDR 27 TDI (010f0f02) TDO (010f0b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (010f1302) TDO (010f0f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (010f2302) TDO (010f1303) ;
RUNTEST 1 TCK;
SDR 27 TDI (010f2702) TDO (010f2303) ;
RUNTEST 1 TCK;
SDR 27 TDI (010f2b02) TDO (010f2703) ;
RUNTEST 1 TCK;
SDR 27 TDI (010f2f12) TDO (010f2b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (010f3002) TDO (010f2f13) ;
RUNTEST 1 TCK;
SDR 27 TDI (010f4002) TDO (010f3003) ;
RUNTEST 1 TCK;
SDR 27 TDI (010f4402) TDO (010f4003) ;
RUNTEST 1 TCK;
SDR 27 TDI (010f4802) TDO (010f4403) ;
RUNTEST 1 TCK;
SDR 27 TDI (010f4c02) TDO (010f4803) ;
RUNTEST 1 TCK;
SDR 27 TDI (010f5002) TDO (010f4c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (010f8302) TDO (010f5003) ;
RUNTEST 1 TCK;
SDR 27 TDI (010f8742) TDO (010f8303) ;
RUNTEST 1 TCK;
SDR 27 TDI (010f8b02) TDO (010f8743) ;
RUNTEST 1 TCK;
SDR 27 TDI (010f8f32) TDO (010f8b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (010f9306) TDO (010f8f33) ;
RUNTEST 1 TCK;
SDR 27 TDI (010fa302) TDO (010f9307) ;
RUNTEST 1 TCK;
SDR 27 TDI (010fa70a) TDO (010fa303) ;
RUNTEST 1 TCK;
SDR 27 TDI (010fab02) TDO (010fa70b) ;
RUNTEST 1 TCK;
SDR 27 TDI (010faf42) TDO (010fab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (010fb002) TDO (010faf43) ;
RUNTEST 1 TCK;
SDR 27 TDI (010fc002) TDO (010fb003) ;
RUNTEST 1 TCK;
SDR 27 TDI (010fc402) TDO (010fc003) ;
RUNTEST 1 TCK;
SDR 27 TDI (010fc80a) TDO (010fc403) ;
RUNTEST 1 TCK;
SDR 27 TDI (010fcc02) TDO (010fc80b) ;
RUNTEST 1 TCK;
SDR 27 TDI (010fd012) TDO (010fcc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01100382) TDO (010fd013) ;
RUNTEST 1 TCK;
SDR 27 TDI (0110070a) TDO (01100383) ;
RUNTEST 1 TCK;
SDR 27 TDI (01100b02) TDO (0110070b) ;
RUNTEST 1 TCK;
SDR 27 TDI (01100f02) TDO (01100b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01101302) TDO (01100f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01102302) TDO (01101303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01102702) TDO (01102303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01102b02) TDO (01102703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01102f02) TDO (01102b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01103006) TDO (01102f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01104002) TDO (01103007) ;
RUNTEST 1 TCK;
SDR 27 TDI (01104402) TDO (01104003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01104802) TDO (01104403) ;
RUNTEST 1 TCK;
SDR 27 TDI (01104c02) TDO (01104803) ;
RUNTEST 1 TCK;
SDR 27 TDI (01105002) TDO (01104c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01108302) TDO (01105003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01108702) TDO (01108303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01108b8a) TDO (01108703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01108f02) TDO (01108b8b) ;
RUNTEST 1 TCK;
SDR 27 TDI (01109302) TDO (01108f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0110a302) TDO (01109303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0110a702) TDO (0110a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0110ab02) TDO (0110a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0110af02) TDO (0110ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0110b002) TDO (0110af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0110c002) TDO (0110b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0110c402) TDO (0110c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0110c802) TDO (0110c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0110cc02) TDO (0110c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0110d006) TDO (0110cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01110302) TDO (0110d007) ;
RUNTEST 1 TCK;
SDR 27 TDI (01110742) TDO (01110303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01110b02) TDO (01110743) ;
RUNTEST 1 TCK;
SDR 27 TDI (01110f32) TDO (01110b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01111302) TDO (01110f33) ;
RUNTEST 1 TCK;
SDR 27 TDI (01112302) TDO (01111303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0111271a) TDO (01112303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01112b12) TDO (0111271b) ;
RUNTEST 1 TCK;
SDR 27 TDI (01112f12) TDO (01112b13) ;
RUNTEST 1 TCK;
SDR 27 TDI (01113002) TDO (01112f13) ;
RUNTEST 1 TCK;
SDR 27 TDI (01114002) TDO (01113003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01114402) TDO (01114003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0111480a) TDO (01114403) ;
RUNTEST 1 TCK;
SDR 27 TDI (01114c02) TDO (0111480b) ;
RUNTEST 1 TCK;
SDR 27 TDI (01115012) TDO (01114c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01118102) TDO (01115013) ;
RUNTEST 1 TCK;
SDR 27 TDI (01118702) TDO (01118103) ;
RUNTEST 1 TCK;
SDR 27 TDI (01118b02) TDO (01118703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01118d06) TDO (01118b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01119102) TDO (01118d07) ;
RUNTEST 1 TCK;
SDR 27 TDI (0111a302) TDO (01119103) ;
RUNTEST 1 TCK;
SDR 27 TDI (0111a502) TDO (0111a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0111ab42) TDO (0111a503) ;
RUNTEST 1 TCK;
SDR 27 TDI (0111af02) TDO (0111ab43) ;
RUNTEST 1 TCK;
SDR 27 TDI (0111b002) TDO (0111af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0111c002) TDO (0111b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0111c402) TDO (0111c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0111c802) TDO (0111c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0111cc02) TDO (0111c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0111d002) TDO (0111cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01120302) TDO (0111d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01120442) TDO (01120303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01120802) TDO (01120443) ;
RUNTEST 1 TCK;
SDR 27 TDI (01120f12) TDO (01120803) ;
RUNTEST 1 TCK;
SDR 27 TDI (01121202) TDO (01120f13) ;
RUNTEST 1 TCK;
SDR 27 TDI (01122002) TDO (01121203) ;
RUNTEST 1 TCK;
SDR 27 TDI (0112261a) TDO (01122003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01122812) TDO (0112261b) ;
RUNTEST 1 TCK;
SDR 27 TDI (01122c12) TDO (01122813) ;
RUNTEST 1 TCK;
SDR 27 TDI (01123002) TDO (01122c13) ;
RUNTEST 1 TCK;
SDR 27 TDI (01124002) TDO (01123003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01124402) TDO (01124003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0112480a) TDO (01124403) ;
RUNTEST 1 TCK;
SDR 27 TDI (01124c02) TDO (0112480b) ;
RUNTEST 1 TCK;
SDR 27 TDI (01125012) TDO (01124c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01128202) TDO (01125013) ;
RUNTEST 1 TCK;
SDR 27 TDI (01128402) TDO (01128203) ;
RUNTEST 1 TCK;
SDR 27 TDI (01128802) TDO (01128403) ;
RUNTEST 1 TCK;
SDR 27 TDI (01128e02) TDO (01128803) ;
RUNTEST 1 TCK;
SDR 27 TDI (01129202) TDO (01128e03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0112a002) TDO (01129203) ;
RUNTEST 1 TCK;
SDR 27 TDI (0112a602) TDO (0112a003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0112a802) TDO (0112a603) ;
RUNTEST 1 TCK;
SDR 27 TDI (0112ac02) TDO (0112a803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0112b022) TDO (0112ac03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0112c002) TDO (0112b023) ;
RUNTEST 1 TCK;
SDR 27 TDI (0112c402) TDO (0112c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0112c802) TDO (0112c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0112cc82) TDO (0112c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0112d042) TDO (0112cc83) ;
RUNTEST 1 TCK;
SDR 27 TDI (01130302) TDO (0112d043) ;
RUNTEST 1 TCK;
SDR 27 TDI (01130702) TDO (01130303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01130b02) TDO (01130703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01130f02) TDO (01130b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01131302) TDO (01130f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01132302) TDO (01131303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01132702) TDO (01132303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01132b02) TDO (01132703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01132f02) TDO (01132b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01133002) TDO (01132f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01134002) TDO (01133003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01134402) TDO (01134003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01134802) TDO (01134403) ;
RUNTEST 1 TCK;
SDR 27 TDI (01134c02) TDO (01134803) ;
RUNTEST 1 TCK;
SDR 27 TDI (01135002) TDO (01134c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01138302) TDO (01135003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01138702) TDO (01138303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01138b8a) TDO (01138703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01138f02) TDO (01138b8b) ;
RUNTEST 1 TCK;
SDR 27 TDI (01139302) TDO (01138f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0113a302) TDO (01139303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0113a702) TDO (0113a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0113ab02) TDO (0113a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0113af02) TDO (0113ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0113b002) TDO (0113af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0113c002) TDO (0113b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0113c402) TDO (0113c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0113c802) TDO (0113c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0113cc02) TDO (0113c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0113d006) TDO (0113cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01140202) TDO (0113d007) ;
RUNTEST 1 TCK;
SDR 27 TDI (01140402) TDO (01140203) ;
RUNTEST 1 TCK;
SDR 27 TDI (01140802) TDO (01140403) ;
RUNTEST 1 TCK;
SDR 27 TDI (01140e02) TDO (01140803) ;
RUNTEST 1 TCK;
SDR 27 TDI (01141202) TDO (01140e03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01142002) TDO (01141203) ;
RUNTEST 1 TCK;
SDR 27 TDI (01142602) TDO (01142003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01142802) TDO (01142603) ;
RUNTEST 1 TCK;
SDR 27 TDI (01142c02) TDO (01142803) ;
RUNTEST 1 TCK;
SDR 27 TDI (01143002) TDO (01142c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01144002) TDO (01143003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01144402) TDO (01144003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01144802) TDO (01144403) ;
RUNTEST 1 TCK;
SDR 27 TDI (01144c02) TDO (01144803) ;
RUNTEST 1 TCK;
SDR 27 TDI (01145002) TDO (01144c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01148302) TDO (01145003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01148702) TDO (01148303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01148b02) TDO (01148703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01148f02) TDO (01148b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01149302) TDO (01148f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0114a302) TDO (01149303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0114a702) TDO (0114a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0114ab02) TDO (0114a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0114af02) TDO (0114ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0114b002) TDO (0114af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0114c002) TDO (0114b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0114c402) TDO (0114c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0114c802) TDO (0114c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0114cc02) TDO (0114c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0114d002) TDO (0114cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01150302) TDO (0114d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01150702) TDO (01150303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01150b02) TDO (01150703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01150f02) TDO (01150b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01151302) TDO (01150f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01152302) TDO (01151303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01152702) TDO (01152303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01152b02) TDO (01152703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01152f02) TDO (01152b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01153002) TDO (01152f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01154002) TDO (01153003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01154402) TDO (01154003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01154802) TDO (01154403) ;
RUNTEST 1 TCK;
SDR 27 TDI (01154c02) TDO (01154803) ;
RUNTEST 1 TCK;
SDR 27 TDI (01155002) TDO (01154c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01158302) TDO (01155003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01158702) TDO (01158303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01158b02) TDO (01158703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01158f02) TDO (01158b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01159302) TDO (01158f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0115a302) TDO (01159303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0115a702) TDO (0115a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0115ab02) TDO (0115a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0115af02) TDO (0115ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0115b002) TDO (0115af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0115c002) TDO (0115b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0115c402) TDO (0115c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0115c802) TDO (0115c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0115cc02) TDO (0115c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0115d002) TDO (0115cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01160182) TDO (0115d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0116070a) TDO (01160183) ;
RUNTEST 1 TCK;
SDR 27 TDI (01160b02) TDO (0116070b) ;
RUNTEST 1 TCK;
SDR 27 TDI (01160d02) TDO (01160b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01161102) TDO (01160d03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01162302) TDO (01161103) ;
RUNTEST 1 TCK;
SDR 27 TDI (01162502) TDO (01162303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01162b86) TDO (01162503) ;
RUNTEST 1 TCK;
SDR 27 TDI (01162f86) TDO (01162b87) ;
RUNTEST 1 TCK;
SDR 27 TDI (01163006) TDO (01162f87) ;
RUNTEST 1 TCK;
SDR 27 TDI (01164002) TDO (01163007) ;
RUNTEST 1 TCK;
SDR 27 TDI (01164402) TDO (01164003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01164806) TDO (01164403) ;
RUNTEST 1 TCK;
SDR 27 TDI (01164c06) TDO (01164807) ;
RUNTEST 1 TCK;
SDR 27 TDI (01165002) TDO (01164c07) ;
RUNTEST 1 TCK;
SDR 27 TDI (01168202) TDO (01165003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01168402) TDO (01168203) ;
RUNTEST 1 TCK;
SDR 27 TDI (01168802) TDO (01168403) ;
RUNTEST 1 TCK;
SDR 27 TDI (01168e02) TDO (01168803) ;
RUNTEST 1 TCK;
SDR 27 TDI (01169202) TDO (01168e03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0116a002) TDO (01169203) ;
RUNTEST 1 TCK;
SDR 27 TDI (0116a602) TDO (0116a003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0116a802) TDO (0116a603) ;
RUNTEST 1 TCK;
SDR 27 TDI (0116ac02) TDO (0116a803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0116b002) TDO (0116ac03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0116c002) TDO (0116b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0116c402) TDO (0116c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0116c802) TDO (0116c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0116cc02) TDO (0116c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0116d002) TDO (0116cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01170302) TDO (0116d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01170742) TDO (01170303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01170b02) TDO (01170743) ;
RUNTEST 1 TCK;
SDR 27 TDI (01170f32) TDO (01170b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01171302) TDO (01170f33) ;
RUNTEST 1 TCK;
SDR 27 TDI (01172302) TDO (01171303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0117271a) TDO (01172303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01172b12) TDO (0117271b) ;
RUNTEST 1 TCK;
SDR 27 TDI (01172f52) TDO (01172b13) ;
RUNTEST 1 TCK;
SDR 27 TDI (01173002) TDO (01172f53) ;
RUNTEST 1 TCK;
SDR 27 TDI (01174002) TDO (01173003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01174402) TDO (01174003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0117480a) TDO (01174403) ;
RUNTEST 1 TCK;
SDR 27 TDI (01174c02) TDO (0117480b) ;
RUNTEST 1 TCK;
SDR 27 TDI (01175012) TDO (01174c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01178302) TDO (01175013) ;
RUNTEST 1 TCK;
SDR 27 TDI (01178702) TDO (01178303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01178b02) TDO (01178703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01178f02) TDO (01178b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01179302) TDO (01178f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0117a302) TDO (01179303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0117a702) TDO (0117a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0117ab02) TDO (0117a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0117af02) TDO (0117ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0117b002) TDO (0117af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0117c002) TDO (0117b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0117c402) TDO (0117c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0117c802) TDO (0117c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0117cc02) TDO (0117c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0117d082) TDO (0117cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01180302) TDO (0117d083) ;
RUNTEST 1 TCK;
SDR 27 TDI (01180702) TDO (01180303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01180b02) TDO (01180703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01180f02) TDO (01180b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01181302) TDO (01180f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01182302) TDO (01181303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01182702) TDO (01182303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01182b02) TDO (01182703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01182f02) TDO (01182b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01183002) TDO (01182f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01184002) TDO (01183003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01184402) TDO (01184003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01184802) TDO (01184403) ;
RUNTEST 1 TCK;
SDR 27 TDI (01184c02) TDO (01184803) ;
RUNTEST 1 TCK;
SDR 27 TDI (01185002) TDO (01184c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01188102) TDO (01185003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01188602) TDO (01188103) ;
RUNTEST 1 TCK;
SDR 27 TDI (01188a02) TDO (01188603) ;
RUNTEST 1 TCK;
SDR 27 TDI (01188f02) TDO (01188a03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01189302) TDO (01188f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0118a302) TDO (01189303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0118a502) TDO (0118a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0118a902) TDO (0118a503) ;
RUNTEST 1 TCK;
SDR 27 TDI (0118af02) TDO (0118a903) ;
RUNTEST 1 TCK;
SDR 27 TDI (0118b002) TDO (0118af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0118c002) TDO (0118b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0118c402) TDO (0118c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0118c802) TDO (0118c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0118cc02) TDO (0118c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0118d002) TDO (0118cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01190002) TDO (0118d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01190402) TDO (01190003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01190802) TDO (01190403) ;
RUNTEST 1 TCK;
SDR 27 TDI (01190c02) TDO (01190803) ;
RUNTEST 1 TCK;
SDR 27 TDI (01191002) TDO (01190c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01192002) TDO (01191003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01192402) TDO (01192003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01192802) TDO (01192403) ;
RUNTEST 1 TCK;
SDR 27 TDI (01192c02) TDO (01192803) ;
RUNTEST 1 TCK;
SDR 27 TDI (01193002) TDO (01192c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01194002) TDO (01193003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01194402) TDO (01194003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01194802) TDO (01194403) ;
RUNTEST 1 TCK;
SDR 27 TDI (01194c02) TDO (01194803) ;
RUNTEST 1 TCK;
SDR 27 TDI (01195002) TDO (01194c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01198002) TDO (01195003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01198402) TDO (01198003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01198802) TDO (01198403) ;
RUNTEST 1 TCK;
SDR 27 TDI (01198c02) TDO (01198803) ;
RUNTEST 1 TCK;
SDR 27 TDI (01199002) TDO (01198c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0119a002) TDO (01199003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0119a402) TDO (0119a003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0119a802) TDO (0119a403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0119ac02) TDO (0119a803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0119b002) TDO (0119ac03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0119c002) TDO (0119b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0119c402) TDO (0119c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0119c802) TDO (0119c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0119cc02) TDO (0119c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0119d002) TDO (0119cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (011a0002) TDO (0119d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (011a0402) TDO (011a0003) ;
RUNTEST 1 TCK;
SDR 27 TDI (011a0802) TDO (011a0403) ;
RUNTEST 1 TCK;
SDR 27 TDI (011a0e02) TDO (011a0803) ;
RUNTEST 1 TCK;
SDR 27 TDI (011a1102) TDO (011a0e03) ;
RUNTEST 1 TCK;
SDR 27 TDI (011a2002) TDO (011a1103) ;
RUNTEST 1 TCK;
SDR 27 TDI (011a2402) TDO (011a2003) ;
RUNTEST 1 TCK;
SDR 27 TDI (011a2802) TDO (011a2403) ;
RUNTEST 1 TCK;
SDR 27 TDI (011a2c02) TDO (011a2803) ;
RUNTEST 1 TCK;
SDR 27 TDI (011a3002) TDO (011a2c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (011a4002) TDO (011a3003) ;
RUNTEST 1 TCK;
SDR 27 TDI (011a4402) TDO (011a4003) ;
RUNTEST 1 TCK;
SDR 27 TDI (011a4802) TDO (011a4403) ;
RUNTEST 1 TCK;
SDR 27 TDI (011a4c02) TDO (011a4803) ;
RUNTEST 1 TCK;
SDR 27 TDI (011a5002) TDO (011a4c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (011a8082) TDO (011a5003) ;
RUNTEST 1 TCK;
SDR 27 TDI (011a8402) TDO (011a8083) ;
RUNTEST 1 TCK;
SDR 27 TDI (011a8802) TDO (011a8403) ;
RUNTEST 1 TCK;
SDR 27 TDI (011a8f02) TDO (011a8803) ;
RUNTEST 1 TCK;
SDR 27 TDI (011a9102) TDO (011a8f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (011aa102) TDO (011a9103) ;
RUNTEST 1 TCK;
SDR 27 TDI (011aa602) TDO (011aa103) ;
RUNTEST 1 TCK;
SDR 27 TDI (011aa882) TDO (011aa603) ;
RUNTEST 1 TCK;
SDR 27 TDI (011aac82) TDO (011aa883) ;
RUNTEST 1 TCK;
SDR 27 TDI (011ab002) TDO (011aac83) ;
RUNTEST 1 TCK;
SDR 27 TDI (011ac002) TDO (011ab003) ;
RUNTEST 1 TCK;
SDR 27 TDI (011ac402) TDO (011ac003) ;
RUNTEST 1 TCK;
SDR 27 TDI (011ac802) TDO (011ac403) ;
RUNTEST 1 TCK;
SDR 27 TDI (011acc02) TDO (011ac803) ;
RUNTEST 1 TCK;
SDR 27 TDI (011ad002) TDO (011acc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (011b0202) TDO (011ad003) ;
RUNTEST 1 TCK;
SDR 27 TDI (011b0442) TDO (011b0203) ;
RUNTEST 1 TCK;
SDR 27 TDI (011b0802) TDO (011b0443) ;
RUNTEST 1 TCK;
SDR 27 TDI (011b0f32) TDO (011b0803) ;
RUNTEST 1 TCK;
SDR 27 TDI (011b1306) TDO (011b0f33) ;
RUNTEST 1 TCK;
SDR 27 TDI (011b2102) TDO (011b1307) ;
RUNTEST 1 TCK;
SDR 27 TDI (011b271a) TDO (011b2103) ;
RUNTEST 1 TCK;
SDR 27 TDI (011b2912) TDO (011b271b) ;
RUNTEST 1 TCK;
SDR 27 TDI (011b2c52) TDO (011b2913) ;
RUNTEST 1 TCK;
SDR 27 TDI (011b3002) TDO (011b2c53) ;
RUNTEST 1 TCK;
SDR 27 TDI (011b4002) TDO (011b3003) ;
RUNTEST 1 TCK;
SDR 27 TDI (011b4402) TDO (011b4003) ;
RUNTEST 1 TCK;
SDR 27 TDI (011b480a) TDO (011b4403) ;
RUNTEST 1 TCK;
SDR 27 TDI (011b4c02) TDO (011b480b) ;
RUNTEST 1 TCK;
SDR 27 TDI (011b5012) TDO (011b4c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (011b8202) TDO (011b5013) ;
RUNTEST 1 TCK;
SDR 27 TDI (011b8702) TDO (011b8203) ;
RUNTEST 1 TCK;
SDR 27 TDI (011b8b02) TDO (011b8703) ;
RUNTEST 1 TCK;
SDR 27 TDI (011b8d02) TDO (011b8b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (011b9302) TDO (011b8d03) ;
RUNTEST 1 TCK;
SDR 27 TDI (011ba302) TDO (011b9303) ;
RUNTEST 1 TCK;
SDR 27 TDI (011ba602) TDO (011ba303) ;
RUNTEST 1 TCK;
SDR 27 TDI (011bab02) TDO (011ba603) ;
RUNTEST 1 TCK;
SDR 27 TDI (011baf02) TDO (011bab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (011bb002) TDO (011baf03) ;
RUNTEST 1 TCK;
SDR 27 TDI (011bc002) TDO (011bb003) ;
RUNTEST 1 TCK;
SDR 27 TDI (011bc402) TDO (011bc003) ;
RUNTEST 1 TCK;
SDR 27 TDI (011bc802) TDO (011bc403) ;
RUNTEST 1 TCK;
SDR 27 TDI (011bcc02) TDO (011bc803) ;
RUNTEST 1 TCK;
SDR 27 TDI (011bd002) TDO (011bcc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (011c0302) TDO (011bd003) ;
RUNTEST 1 TCK;
SDR 27 TDI (011c0602) TDO (011c0303) ;
RUNTEST 1 TCK;
SDR 27 TDI (011c0b02) TDO (011c0603) ;
RUNTEST 1 TCK;
SDR 27 TDI (011c0f02) TDO (011c0b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (011c1102) TDO (011c0f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (011c2302) TDO (011c1103) ;
RUNTEST 1 TCK;
SDR 27 TDI (011c2702) TDO (011c2303) ;
RUNTEST 1 TCK;
SDR 27 TDI (011c2a02) TDO (011c2703) ;
RUNTEST 1 TCK;
SDR 27 TDI (011c2e02) TDO (011c2a03) ;
RUNTEST 1 TCK;
SDR 27 TDI (011c3002) TDO (011c2e03) ;
RUNTEST 1 TCK;
SDR 27 TDI (011c4002) TDO (011c3003) ;
RUNTEST 1 TCK;
SDR 27 TDI (011c4402) TDO (011c4003) ;
RUNTEST 1 TCK;
SDR 27 TDI (011c4802) TDO (011c4403) ;
RUNTEST 1 TCK;
SDR 27 TDI (011c4c02) TDO (011c4803) ;
RUNTEST 1 TCK;
SDR 27 TDI (011c5002) TDO (011c4c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (011c8102) TDO (011c5003) ;
RUNTEST 1 TCK;
SDR 27 TDI (011c8602) TDO (011c8103) ;
RUNTEST 1 TCK;
SDR 27 TDI (011c8b02) TDO (011c8603) ;
RUNTEST 1 TCK;
SDR 27 TDI (011c8f02) TDO (011c8b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (011c9102) TDO (011c8f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (011ca302) TDO (011c9103) ;
RUNTEST 1 TCK;
SDR 27 TDI (011ca702) TDO (011ca303) ;
RUNTEST 1 TCK;
SDR 27 TDI (011caa02) TDO (011ca703) ;
RUNTEST 1 TCK;
SDR 27 TDI (011caf02) TDO (011caa03) ;
RUNTEST 1 TCK;
SDR 27 TDI (011cb002) TDO (011caf03) ;
RUNTEST 1 TCK;
SDR 27 TDI (011cc002) TDO (011cb003) ;
RUNTEST 1 TCK;
SDR 27 TDI (011cc402) TDO (011cc003) ;
RUNTEST 1 TCK;
SDR 27 TDI (011cc802) TDO (011cc403) ;
RUNTEST 1 TCK;
SDR 27 TDI (011ccc02) TDO (011cc803) ;
RUNTEST 1 TCK;
SDR 27 TDI (011cd002) TDO (011ccc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (011d0302) TDO (011cd003) ;
RUNTEST 1 TCK;
SDR 27 TDI (011d0602) TDO (011d0303) ;
RUNTEST 1 TCK;
SDR 27 TDI (011d0b02) TDO (011d0603) ;
RUNTEST 1 TCK;
SDR 27 TDI (011d0f02) TDO (011d0b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (011d1102) TDO (011d0f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (011d2302) TDO (011d1103) ;
RUNTEST 1 TCK;
SDR 27 TDI (011d2702) TDO (011d2303) ;
RUNTEST 1 TCK;
SDR 27 TDI (011d2a02) TDO (011d2703) ;
RUNTEST 1 TCK;
SDR 27 TDI (011d2e02) TDO (011d2a03) ;
RUNTEST 1 TCK;
SDR 27 TDI (011d3002) TDO (011d2e03) ;
RUNTEST 1 TCK;
SDR 27 TDI (011d4002) TDO (011d3003) ;
RUNTEST 1 TCK;
SDR 27 TDI (011d4402) TDO (011d4003) ;
RUNTEST 1 TCK;
SDR 27 TDI (011d4802) TDO (011d4403) ;
RUNTEST 1 TCK;
SDR 27 TDI (011d4c02) TDO (011d4803) ;
RUNTEST 1 TCK;
SDR 27 TDI (011d5002) TDO (011d4c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (011d8302) TDO (011d5003) ;
RUNTEST 1 TCK;
SDR 27 TDI (011d8602) TDO (011d8303) ;
RUNTEST 1 TCK;
SDR 27 TDI (011d8b02) TDO (011d8603) ;
RUNTEST 1 TCK;
SDR 27 TDI (011d8f02) TDO (011d8b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (011d9102) TDO (011d8f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (011da302) TDO (011d9103) ;
RUNTEST 1 TCK;
SDR 27 TDI (011da602) TDO (011da303) ;
RUNTEST 1 TCK;
SDR 27 TDI (011dab02) TDO (011da603) ;
RUNTEST 1 TCK;
SDR 27 TDI (011daf02) TDO (011dab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (011db002) TDO (011daf03) ;
RUNTEST 1 TCK;
SDR 27 TDI (011dc002) TDO (011db003) ;
RUNTEST 1 TCK;
SDR 27 TDI (011dc402) TDO (011dc003) ;
RUNTEST 1 TCK;
SDR 27 TDI (011dc802) TDO (011dc403) ;
RUNTEST 1 TCK;
SDR 27 TDI (011dcc02) TDO (011dc803) ;
RUNTEST 1 TCK;
SDR 27 TDI (011dd002) TDO (011dcc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (011e0202) TDO (011dd003) ;
RUNTEST 1 TCK;
SDR 27 TDI (011e0702) TDO (011e0203) ;
RUNTEST 1 TCK;
SDR 27 TDI (011e0b02) TDO (011e0703) ;
RUNTEST 1 TCK;
SDR 27 TDI (011e0f02) TDO (011e0b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (011e1102) TDO (011e0f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (011e2302) TDO (011e1103) ;
RUNTEST 1 TCK;
SDR 27 TDI (011e2602) TDO (011e2303) ;
RUNTEST 1 TCK;
SDR 27 TDI (011e2b02) TDO (011e2603) ;
RUNTEST 1 TCK;
SDR 27 TDI (011e2f02) TDO (011e2b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (011e3002) TDO (011e2f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (011e4002) TDO (011e3003) ;
RUNTEST 1 TCK;
SDR 27 TDI (011e4402) TDO (011e4003) ;
RUNTEST 1 TCK;
SDR 27 TDI (011e4802) TDO (011e4403) ;
RUNTEST 1 TCK;
SDR 27 TDI (011e4c02) TDO (011e4803) ;
RUNTEST 1 TCK;
SDR 27 TDI (011e5002) TDO (011e4c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (011e8302) TDO (011e5003) ;
RUNTEST 1 TCK;
SDR 27 TDI (011e8602) TDO (011e8303) ;
RUNTEST 1 TCK;
SDR 27 TDI (011e8b02) TDO (011e8603) ;
RUNTEST 1 TCK;
SDR 27 TDI (011e8f02) TDO (011e8b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (011e9302) TDO (011e8f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (011ea302) TDO (011e9303) ;
RUNTEST 1 TCK;
SDR 27 TDI (011ea602) TDO (011ea303) ;
RUNTEST 1 TCK;
SDR 27 TDI (011eab02) TDO (011ea603) ;
RUNTEST 1 TCK;
SDR 27 TDI (011eaf02) TDO (011eab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (011eb002) TDO (011eaf03) ;
RUNTEST 1 TCK;
SDR 27 TDI (011ec002) TDO (011eb003) ;
RUNTEST 1 TCK;
SDR 27 TDI (011ec402) TDO (011ec003) ;
RUNTEST 1 TCK;
SDR 27 TDI (011ec802) TDO (011ec403) ;
RUNTEST 1 TCK;
SDR 27 TDI (011ecc02) TDO (011ec803) ;
RUNTEST 1 TCK;
SDR 27 TDI (011ed002) TDO (011ecc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (011f0302) TDO (011ed003) ;
RUNTEST 1 TCK;
SDR 27 TDI (011f0702) TDO (011f0303) ;
RUNTEST 1 TCK;
SDR 27 TDI (011f0b02) TDO (011f0703) ;
RUNTEST 1 TCK;
SDR 27 TDI (011f0f02) TDO (011f0b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (011f1102) TDO (011f0f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (011f2302) TDO (011f1103) ;
RUNTEST 1 TCK;
SDR 27 TDI (011f2602) TDO (011f2303) ;
RUNTEST 1 TCK;
SDR 27 TDI (011f2a02) TDO (011f2603) ;
RUNTEST 1 TCK;
SDR 27 TDI (011f2f02) TDO (011f2a03) ;
RUNTEST 1 TCK;
SDR 27 TDI (011f3002) TDO (011f2f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (011f4002) TDO (011f3003) ;
RUNTEST 1 TCK;
SDR 27 TDI (011f4402) TDO (011f4003) ;
RUNTEST 1 TCK;
SDR 27 TDI (011f4802) TDO (011f4403) ;
RUNTEST 1 TCK;
SDR 27 TDI (011f4c02) TDO (011f4803) ;
RUNTEST 1 TCK;
SDR 27 TDI (011f5002) TDO (011f4c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (011f8302) TDO (011f5003) ;
RUNTEST 1 TCK;
SDR 27 TDI (011f8702) TDO (011f8303) ;
RUNTEST 1 TCK;
SDR 27 TDI (011f8b02) TDO (011f8703) ;
RUNTEST 1 TCK;
SDR 27 TDI (011f8f02) TDO (011f8b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (011f9102) TDO (011f8f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (011fa302) TDO (011f9103) ;
RUNTEST 1 TCK;
SDR 27 TDI (011fa702) TDO (011fa303) ;
RUNTEST 1 TCK;
SDR 27 TDI (011fab02) TDO (011fa703) ;
RUNTEST 1 TCK;
SDR 27 TDI (011faf02) TDO (011fab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (011fb002) TDO (011faf03) ;
RUNTEST 1 TCK;
SDR 27 TDI (011fc002) TDO (011fb003) ;
RUNTEST 1 TCK;
SDR 27 TDI (011fc402) TDO (011fc003) ;
RUNTEST 1 TCK;
SDR 27 TDI (011fc802) TDO (011fc403) ;
RUNTEST 1 TCK;
SDR 27 TDI (011fcc02) TDO (011fc803) ;
RUNTEST 1 TCK;
SDR 27 TDI (011fd002) TDO (011fcc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01200302) TDO (011fd003) ;
RUNTEST 1 TCK;
SDR 27 TDI (012006a2) TDO (01200303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01200b02) TDO (012006a3) ;
RUNTEST 1 TCK;
SDR 27 TDI (01200f02) TDO (01200b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01201302) TDO (01200f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01202302) TDO (01201303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01202786) TDO (01202303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01202b02) TDO (01202787) ;
RUNTEST 1 TCK;
SDR 27 TDI (01202f02) TDO (01202b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01203002) TDO (01202f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01204002) TDO (01203003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01204406) TDO (01204003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01204802) TDO (01204407) ;
RUNTEST 1 TCK;
SDR 27 TDI (01204c02) TDO (01204803) ;
RUNTEST 1 TCK;
SDR 27 TDI (01205002) TDO (01204c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01208302) TDO (01205003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01208702) TDO (01208303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01208b02) TDO (01208703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01208d02) TDO (01208b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01209302) TDO (01208d03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0120a102) TDO (01209303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0120a702) TDO (0120a103) ;
RUNTEST 1 TCK;
SDR 27 TDI (0120ab02) TDO (0120a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0120af02) TDO (0120ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0120b002) TDO (0120af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0120c002) TDO (0120b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0120c402) TDO (0120c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0120c802) TDO (0120c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0120cc02) TDO (0120c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0120d002) TDO (0120cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01210302) TDO (0120d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01210702) TDO (01210303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01210b02) TDO (01210703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01210f02) TDO (01210b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01211302) TDO (01210f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01212302) TDO (01211303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01212702) TDO (01212303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01212b02) TDO (01212703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01212f02) TDO (01212b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01213002) TDO (01212f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01214002) TDO (01213003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01214402) TDO (01214003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01214802) TDO (01214403) ;
RUNTEST 1 TCK;
SDR 27 TDI (01214c02) TDO (01214803) ;
RUNTEST 1 TCK;
SDR 27 TDI (01215002) TDO (01214c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01218202) TDO (01215003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01218602) TDO (01218203) ;
RUNTEST 1 TCK;
SDR 27 TDI (01218b02) TDO (01218603) ;
RUNTEST 1 TCK;
SDR 27 TDI (01218f02) TDO (01218b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01219302) TDO (01218f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0121a302) TDO (01219303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0121a702) TDO (0121a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0121ab02) TDO (0121a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0121af02) TDO (0121ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0121b002) TDO (0121af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0121c002) TDO (0121b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0121c402) TDO (0121c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0121c802) TDO (0121c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0121cc02) TDO (0121c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0121d002) TDO (0121cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01220302) TDO (0121d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01220702) TDO (01220303) MASK (07fffeff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01220b02) TDO (01220703) MASK (07ffffff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01220f02) TDO (01220b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01221302) TDO (01220f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01222302) TDO (01221303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01222602) TDO (01222303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01222b02) TDO (01222603) ;
RUNTEST 1 TCK;
SDR 27 TDI (01222f02) TDO (01222b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01223002) TDO (01222f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01224002) TDO (01223003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01224402) TDO (01224003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01224802) TDO (01224403) ;
RUNTEST 1 TCK;
SDR 27 TDI (01224c02) TDO (01224803) ;
RUNTEST 1 TCK;
SDR 27 TDI (01225002) TDO (01224c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01228302) TDO (01225003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01228702) TDO (01228303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01228b02) TDO (01228703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01228f02) TDO (01228b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01229302) TDO (01228f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0122a302) TDO (01229303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0122a702) TDO (0122a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0122ab02) TDO (0122a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0122af02) TDO (0122ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0122b002) TDO (0122af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0122c002) TDO (0122b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0122c402) TDO (0122c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0122c802) TDO (0122c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0122cc02) TDO (0122c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0122d002) TDO (0122cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01230302) TDO (0122d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01230702) TDO (01230303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01230b02) TDO (01230703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01230f02) TDO (01230b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01231302) TDO (01230f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01232302) TDO (01231303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01232702) TDO (01232303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01232b02) TDO (01232703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01232f02) TDO (01232b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01233002) TDO (01232f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01234002) TDO (01233003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01234402) TDO (01234003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01234802) TDO (01234403) ;
RUNTEST 1 TCK;
SDR 27 TDI (01234c02) TDO (01234803) ;
RUNTEST 1 TCK;
SDR 27 TDI (01235002) TDO (01234c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01238302) TDO (01235003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01238702) TDO (01238303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01238b02) TDO (01238703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01238f02) TDO (01238b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01239302) TDO (01238f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0123a302) TDO (01239303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0123a702) TDO (0123a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0123ab02) TDO (0123a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0123af02) TDO (0123ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0123b002) TDO (0123af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0123c002) TDO (0123b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0123c402) TDO (0123c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0123c802) TDO (0123c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0123cc02) TDO (0123c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0123d002) TDO (0123cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (014003da) TDO (0123d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (014005da) TDO (014003db) ;
RUNTEST 1 TCK;
SDR 27 TDI (014009fa) TDO (014005db) ;
RUNTEST 1 TCK;
SDR 27 TDI (01400dee) TDO (014009fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (014011de) TDO (01400def) ;
RUNTEST 1 TCK;
SDR 27 TDI (014023da) TDO (014011df) ;
RUNTEST 1 TCK;
SDR 27 TDI (014025da) TDO (014023db) ;
RUNTEST 1 TCK;
SDR 27 TDI (014029fa) TDO (014025db) ;
RUNTEST 1 TCK;
SDR 27 TDI (01402dee) TDO (014029fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (014031de) TDO (01402def) ;
RUNTEST 1 TCK;
SDR 27 TDI (014043da) TDO (014031df) ;
RUNTEST 1 TCK;
SDR 27 TDI (014045da) TDO (014043db) ;
RUNTEST 1 TCK;
SDR 27 TDI (014049fa) TDO (014045db) ;
RUNTEST 1 TCK;
SDR 27 TDI (01404dee) TDO (014049fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (014051de) TDO (01404def) ;
RUNTEST 1 TCK;
SDR 27 TDI (014063da) TDO (014051df) ;
RUNTEST 1 TCK;
SDR 27 TDI (014065da) TDO (014063db) ;
RUNTEST 1 TCK;
SDR 27 TDI (014069fa) TDO (014065db) ;
RUNTEST 1 TCK;
SDR 27 TDI (01406dee) TDO (014069fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (014071fe) TDO (01406def) ;
RUNTEST 1 TCK;
SDR 27 TDI (014083da) TDO (014071ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (014085da) TDO (014083db) ;
RUNTEST 1 TCK;
SDR 27 TDI (014089fa) TDO (014085db) ;
RUNTEST 1 TCK;
SDR 27 TDI (01408dee) TDO (014089fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (014091de) TDO (01408def) ;
RUNTEST 1 TCK;
SDR 27 TDI (0140a3da) TDO (014091df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0140a5da) TDO (0140a3db) ;
RUNTEST 1 TCK;
SDR 27 TDI (0140a9fa) TDO (0140a5db) ;
RUNTEST 1 TCK;
SDR 27 TDI (0140adee) TDO (0140a9fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (0140b1fe) TDO (0140adef) ;
RUNTEST 1 TCK;
SDR 27 TDI (0140c3da) TDO (0140b1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0140c5da) TDO (0140c3db) ;
RUNTEST 1 TCK;
SDR 27 TDI (0140c9fa) TDO (0140c5db) ;
RUNTEST 1 TCK;
SDR 27 TDI (0140cdee) TDO (0140c9fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (0140d1de) TDO (0140cdef) ;
RUNTEST 1 TCK;
SDR 27 TDI (0140e3da) TDO (0140d1df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0140e5da) TDO (0140e3db) ;
RUNTEST 1 TCK;
SDR 27 TDI (0140e9fa) TDO (0140e5db) ;
RUNTEST 1 TCK;
SDR 27 TDI (0140edee) TDO (0140e9fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (0140f1fe) TDO (0140edef) ;
RUNTEST 1 TCK;
SDR 27 TDI (014103da) TDO (0140f1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (014105da) TDO (014103db) ;
RUNTEST 1 TCK;
SDR 27 TDI (014109fa) TDO (014105db) ;
RUNTEST 1 TCK;
SDR 27 TDI (01410dee) TDO (014109fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (014111fe) TDO (01410def) ;
RUNTEST 1 TCK;
SDR 27 TDI (014123da) TDO (014111ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (014125da) TDO (014123db) ;
RUNTEST 1 TCK;
SDR 27 TDI (014129fa) TDO (014125db) ;
RUNTEST 1 TCK;
SDR 27 TDI (01412dee) TDO (014129fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (014131fe) TDO (01412def) ;
RUNTEST 1 TCK;
SDR 27 TDI (014143da) TDO (014131ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (014145da) TDO (014143db) ;
RUNTEST 1 TCK;
SDR 27 TDI (014149fa) TDO (014145db) ;
RUNTEST 1 TCK;
SDR 27 TDI (01414dee) TDO (014149fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (014151fe) TDO (01414def) ;
RUNTEST 1 TCK;
SDR 27 TDI (014163da) TDO (014151ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (014165da) TDO (014163db) ;
RUNTEST 1 TCK;
SDR 27 TDI (014169fa) TDO (014165db) ;
RUNTEST 1 TCK;
SDR 27 TDI (01416dee) TDO (014169fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (014171de) TDO (01416def) ;
RUNTEST 1 TCK;
SDR 27 TDI (014183da) TDO (014171df) ;
RUNTEST 1 TCK;
SDR 27 TDI (014185da) TDO (014183db) ;
RUNTEST 1 TCK;
SDR 27 TDI (014189fa) TDO (014185db) ;
RUNTEST 1 TCK;
SDR 27 TDI (01418dee) TDO (014189fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (014191de) TDO (01418def) ;
RUNTEST 1 TCK;
SDR 27 TDI (0141a3da) TDO (014191df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0141a5da) TDO (0141a3db) ;
RUNTEST 1 TCK;
SDR 27 TDI (0141a9fa) TDO (0141a5db) ;
RUNTEST 1 TCK;
SDR 27 TDI (0141adee) TDO (0141a9fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (0141b1fe) TDO (0141adef) ;
RUNTEST 1 TCK;
SDR 27 TDI (0141c3da) TDO (0141b1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0141c5da) TDO (0141c3db) ;
RUNTEST 1 TCK;
SDR 27 TDI (0141c9fa) TDO (0141c5db) ;
RUNTEST 1 TCK;
SDR 27 TDI (0141cdee) TDO (0141c9fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (0141d1fe) TDO (0141cdef) ;
RUNTEST 1 TCK;
SDR 27 TDI (0141e3da) TDO (0141d1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0141e5da) TDO (0141e3db) ;
RUNTEST 1 TCK;
SDR 27 TDI (0141e9fa) TDO (0141e5db) ;
RUNTEST 1 TCK;
SDR 27 TDI (0141edee) TDO (0141e9fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (0141f1fe) TDO (0141edef) ;
RUNTEST 1 TCK;
SDR 27 TDI (014203da) TDO (0141f1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (014205da) TDO (014203db) ;
RUNTEST 1 TCK;
SDR 27 TDI (014209fa) TDO (014205db) ;
RUNTEST 1 TCK;
SDR 27 TDI (01420dee) TDO (014209fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (014211fe) TDO (01420def) ;
RUNTEST 1 TCK;
SDR 27 TDI (014223da) TDO (014211ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (014225da) TDO (014223db) ;
RUNTEST 1 TCK;
SDR 27 TDI (014229fa) TDO (014225db) ;
RUNTEST 1 TCK;
SDR 27 TDI (01422dee) TDO (014229fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (014231de) TDO (01422def) ;
RUNTEST 1 TCK;
SDR 27 TDI (014403de) TDO (014231df) ;
RUNTEST 1 TCK;
SDR 27 TDI (014405da) TDO (014403df) ;
RUNTEST 1 TCK;
SDR 27 TDI (014409fa) TDO (014405db) ;
RUNTEST 1 TCK;
SDR 27 TDI (01440dee) TDO (014409fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (014411de) TDO (01440def) ;
RUNTEST 1 TCK;
SDR 27 TDI (014423da) TDO (014411df) ;
RUNTEST 1 TCK;
SDR 27 TDI (014425da) TDO (014423db) ;
RUNTEST 1 TCK;
SDR 27 TDI (014429fe) TDO (014425db) ;
RUNTEST 1 TCK;
SDR 27 TDI (01442dee) TDO (014429ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (014431de) TDO (01442def) ;
RUNTEST 1 TCK;
SDR 27 TDI (014443fa) TDO (014431df) ;
RUNTEST 1 TCK;
SDR 27 TDI (014445da) TDO (014443fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (014449fa) TDO (014445db) ;
RUNTEST 1 TCK;
SDR 27 TDI (01444dee) TDO (014449fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (014451de) TDO (01444def) ;
RUNTEST 1 TCK;
SDR 27 TDI (014463fa) TDO (014451df) ;
RUNTEST 1 TCK;
SDR 27 TDI (014465da) TDO (014463fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (014469fa) TDO (014465db) ;
RUNTEST 1 TCK;
SDR 27 TDI (01446dee) TDO (014469fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (014471de) TDO (01446def) ;
RUNTEST 1 TCK;
SDR 27 TDI (014483fa) TDO (014471df) ;
RUNTEST 1 TCK;
SDR 27 TDI (014485da) TDO (014483fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (014489fa) TDO (014485db) ;
RUNTEST 1 TCK;
SDR 27 TDI (01448dee) TDO (014489fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (014491de) TDO (01448def) ;
RUNTEST 1 TCK;
SDR 27 TDI (0144a3da) TDO (014491df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0144a5da) TDO (0144a3db) ;
RUNTEST 1 TCK;
SDR 27 TDI (0144a9fa) TDO (0144a5db) ;
RUNTEST 1 TCK;
SDR 27 TDI (0144adfe) TDO (0144a9fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (0144b1de) TDO (0144adff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0144c3da) TDO (0144b1df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0144c5da) TDO (0144c3db) ;
RUNTEST 1 TCK;
SDR 27 TDI (0144c9fa) TDO (0144c5db) ;
RUNTEST 1 TCK;
SDR 27 TDI (0144cdfe) TDO (0144c9fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (0144d1de) TDO (0144cdff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0144e3da) TDO (0144d1df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0144e5da) TDO (0144e3db) ;
RUNTEST 1 TCK;
SDR 27 TDI (0144e9fa) TDO (0144e5db) ;
RUNTEST 1 TCK;
SDR 27 TDI (0144edee) TDO (0144e9fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (0144f1de) TDO (0144edef) ;
RUNTEST 1 TCK;
SDR 27 TDI (014503de) TDO (0144f1df) ;
RUNTEST 1 TCK;
SDR 27 TDI (014505da) TDO (014503df) ;
RUNTEST 1 TCK;
SDR 27 TDI (014509fa) TDO (014505db) ;
RUNTEST 1 TCK;
SDR 27 TDI (01450dee) TDO (014509fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (014511de) TDO (01450def) ;
RUNTEST 1 TCK;
SDR 27 TDI (014523da) TDO (014511df) ;
RUNTEST 1 TCK;
SDR 27 TDI (014525da) TDO (014523db) ;
RUNTEST 1 TCK;
SDR 27 TDI (014529fa) TDO (014525db) ;
RUNTEST 1 TCK;
SDR 27 TDI (01452dee) TDO (014529fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (014531de) TDO (01452def) ;
RUNTEST 1 TCK;
SDR 27 TDI (014543de) TDO (014531df) ;
RUNTEST 1 TCK;
SDR 27 TDI (014545da) TDO (014543df) ;
RUNTEST 1 TCK;
SDR 27 TDI (014549fa) TDO (014545db) ;
RUNTEST 1 TCK;
SDR 27 TDI (01454dee) TDO (014549fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (014551de) TDO (01454def) ;
RUNTEST 1 TCK;
SDR 27 TDI (014563da) TDO (014551df) ;
RUNTEST 1 TCK;
SDR 27 TDI (014565da) TDO (014563db) ;
RUNTEST 1 TCK;
SDR 27 TDI (014569fa) TDO (014565db) ;
RUNTEST 1 TCK;
SDR 27 TDI (01456dee) TDO (014569fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (014571de) TDO (01456def) ;
RUNTEST 1 TCK;
SDR 27 TDI (014583da) TDO (014571df) ;
RUNTEST 1 TCK;
SDR 27 TDI (014585da) TDO (014583db) ;
RUNTEST 1 TCK;
SDR 27 TDI (014589fa) TDO (014585db) ;
RUNTEST 1 TCK;
SDR 27 TDI (01458dee) TDO (014589fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (014591de) TDO (01458def) ;
RUNTEST 1 TCK;
SDR 27 TDI (0145a3de) TDO (014591df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0145a5da) TDO (0145a3df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0145a9fa) TDO (0145a5db) ;
RUNTEST 1 TCK;
SDR 27 TDI (0145adee) TDO (0145a9fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (0145b1de) TDO (0145adef) ;
RUNTEST 1 TCK;
SDR 27 TDI (0145c3de) TDO (0145b1df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0145c5da) TDO (0145c3df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0145c9fa) TDO (0145c5db) ;
RUNTEST 1 TCK;
SDR 27 TDI (0145cdee) TDO (0145c9fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (0145d1de) TDO (0145cdef) ;
RUNTEST 1 TCK;
SDR 27 TDI (0145e3da) TDO (0145d1df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0145e5da) TDO (0145e3db) ;
RUNTEST 1 TCK;
SDR 27 TDI (0145e9fa) TDO (0145e5db) ;
RUNTEST 1 TCK;
SDR 27 TDI (0145edee) TDO (0145e9fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (0145f1de) TDO (0145edef) ;
RUNTEST 1 TCK;
SDR 27 TDI (014603da) TDO (0145f1df) ;
RUNTEST 1 TCK;
SDR 27 TDI (014605da) TDO (014603db) ;
RUNTEST 1 TCK;
SDR 27 TDI (014609fa) TDO (014605db) ;
RUNTEST 1 TCK;
SDR 27 TDI (01460dee) TDO (014609fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (014611de) TDO (01460def) ;
RUNTEST 1 TCK;
SDR 27 TDI (014623da) TDO (014611df) ;
RUNTEST 1 TCK;
SDR 27 TDI (014625de) TDO (014623db) ;
RUNTEST 1 TCK;
SDR 27 TDI (014629fa) TDO (014625df) ;
RUNTEST 1 TCK;
SDR 27 TDI (01462dee) TDO (014629fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (014631de) TDO (01462def) ;
RUNTEST 1 TCK;
SDR 27 TDI (014803da) TDO (014631df) ;
RUNTEST 1 TCK;
SDR 27 TDI (014805da) TDO (014803db) ;
RUNTEST 1 TCK;
SDR 27 TDI (014809fa) TDO (014805db) ;
RUNTEST 1 TCK;
SDR 27 TDI (01480dee) TDO (014809fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (014811de) TDO (01480def) ;
RUNTEST 1 TCK;
SDR 27 TDI (014823da) TDO (014811df) ;
RUNTEST 1 TCK;
SDR 27 TDI (014825da) TDO (014823db) ;
RUNTEST 1 TCK;
SDR 27 TDI (014829fa) TDO (014825db) ;
RUNTEST 1 TCK;
SDR 27 TDI (01482dee) TDO (014829fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (014831de) TDO (01482def) ;
RUNTEST 1 TCK;
SDR 27 TDI (014843da) TDO (014831df) ;
RUNTEST 1 TCK;
SDR 27 TDI (014845da) TDO (014843db) ;
RUNTEST 1 TCK;
SDR 27 TDI (014849fa) TDO (014845db) ;
RUNTEST 1 TCK;
SDR 27 TDI (01484dee) TDO (014849fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (014851de) TDO (01484def) ;
RUNTEST 1 TCK;
SDR 27 TDI (014863da) TDO (014851df) ;
RUNTEST 1 TCK;
SDR 27 TDI (014865da) TDO (014863db) ;
RUNTEST 1 TCK;
SDR 27 TDI (014869fa) TDO (014865db) ;
RUNTEST 1 TCK;
SDR 27 TDI (01486dee) TDO (014869fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (014871de) TDO (01486def) ;
RUNTEST 1 TCK;
SDR 27 TDI (014883da) TDO (014871df) ;
RUNTEST 1 TCK;
SDR 27 TDI (014885da) TDO (014883db) ;
RUNTEST 1 TCK;
SDR 27 TDI (014889fa) TDO (014885db) ;
RUNTEST 1 TCK;
SDR 27 TDI (01488dee) TDO (014889fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (014891de) TDO (01488def) ;
RUNTEST 1 TCK;
SDR 27 TDI (0148a3da) TDO (014891df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0148a5da) TDO (0148a3db) ;
RUNTEST 1 TCK;
SDR 27 TDI (0148a9fa) TDO (0148a5db) ;
RUNTEST 1 TCK;
SDR 27 TDI (0148adee) TDO (0148a9fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (0148b1de) TDO (0148adef) ;
RUNTEST 1 TCK;
SDR 27 TDI (0148c3da) TDO (0148b1df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0148c5da) TDO (0148c3db) ;
RUNTEST 1 TCK;
SDR 27 TDI (0148c9fa) TDO (0148c5db) ;
RUNTEST 1 TCK;
SDR 27 TDI (0148cdee) TDO (0148c9fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (0148d1de) TDO (0148cdef) ;
RUNTEST 1 TCK;
SDR 27 TDI (0148e3da) TDO (0148d1df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0148e5da) TDO (0148e3db) ;
RUNTEST 1 TCK;
SDR 27 TDI (0148e9fa) TDO (0148e5db) ;
RUNTEST 1 TCK;
SDR 27 TDI (0148edee) TDO (0148e9fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (0148f1de) TDO (0148edef) ;
RUNTEST 1 TCK;
SDR 27 TDI (014903da) TDO (0148f1df) ;
RUNTEST 1 TCK;
SDR 27 TDI (014905da) TDO (014903db) ;
RUNTEST 1 TCK;
SDR 27 TDI (014909fa) TDO (014905db) ;
RUNTEST 1 TCK;
SDR 27 TDI (01490dee) TDO (014909fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (014911de) TDO (01490def) ;
RUNTEST 1 TCK;
SDR 27 TDI (014923da) TDO (014911df) ;
RUNTEST 1 TCK;
SDR 27 TDI (014925da) TDO (014923db) ;
RUNTEST 1 TCK;
SDR 27 TDI (014929fa) TDO (014925db) ;
RUNTEST 1 TCK;
SDR 27 TDI (01492dee) TDO (014929fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (014931de) TDO (01492def) ;
RUNTEST 1 TCK;
SDR 27 TDI (014943da) TDO (014931df) ;
RUNTEST 1 TCK;
SDR 27 TDI (014945da) TDO (014943db) ;
RUNTEST 1 TCK;
SDR 27 TDI (014949fa) TDO (014945db) ;
RUNTEST 1 TCK;
SDR 27 TDI (01494dee) TDO (014949fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (014951de) TDO (01494def) ;
RUNTEST 1 TCK;
SDR 27 TDI (014963da) TDO (014951df) ;
RUNTEST 1 TCK;
SDR 27 TDI (014965da) TDO (014963db) ;
RUNTEST 1 TCK;
SDR 27 TDI (014969fa) TDO (014965db) ;
RUNTEST 1 TCK;
SDR 27 TDI (01496dee) TDO (014969fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (014971de) TDO (01496def) ;
RUNTEST 1 TCK;
SDR 27 TDI (014983da) TDO (014971df) ;
RUNTEST 1 TCK;
SDR 27 TDI (014985da) TDO (014983db) ;
RUNTEST 1 TCK;
SDR 27 TDI (014989fa) TDO (014985db) ;
RUNTEST 1 TCK;
SDR 27 TDI (01498dee) TDO (014989fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (014991de) TDO (01498def) ;
RUNTEST 1 TCK;
SDR 27 TDI (0149a3da) TDO (014991df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0149a5da) TDO (0149a3db) ;
RUNTEST 1 TCK;
SDR 27 TDI (0149a9fa) TDO (0149a5db) ;
RUNTEST 1 TCK;
SDR 27 TDI (0149adee) TDO (0149a9fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (0149b1de) TDO (0149adef) ;
RUNTEST 1 TCK;
SDR 27 TDI (0149c3da) TDO (0149b1df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0149c5da) TDO (0149c3db) ;
RUNTEST 1 TCK;
SDR 27 TDI (0149c9fa) TDO (0149c5db) ;
RUNTEST 1 TCK;
SDR 27 TDI (0149cdee) TDO (0149c9fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (0149d1de) TDO (0149cdef) ;
RUNTEST 1 TCK;
SDR 27 TDI (0149e3da) TDO (0149d1df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0149e5da) TDO (0149e3db) ;
RUNTEST 1 TCK;
SDR 27 TDI (0149e9fa) TDO (0149e5db) ;
RUNTEST 1 TCK;
SDR 27 TDI (0149edee) TDO (0149e9fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (0149f1de) TDO (0149edef) ;
RUNTEST 1 TCK;
SDR 27 TDI (014a03da) TDO (0149f1df) ;
RUNTEST 1 TCK;
SDR 27 TDI (014a05da) TDO (014a03db) ;
RUNTEST 1 TCK;
SDR 27 TDI (014a09fa) TDO (014a05db) ;
RUNTEST 1 TCK;
SDR 27 TDI (014a0dee) TDO (014a09fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (014a11de) TDO (014a0def) ;
RUNTEST 1 TCK;
SDR 27 TDI (014a23da) TDO (014a11df) ;
RUNTEST 1 TCK;
SDR 27 TDI (014a25da) TDO (014a23db) ;
RUNTEST 1 TCK;
SDR 27 TDI (014a29fa) TDO (014a25db) ;
RUNTEST 1 TCK;
SDR 27 TDI (014a2dee) TDO (014a29fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (014a31de) TDO (014a2def) ;
RUNTEST 1 TCK;
SDR 27 TDI (014c03da) TDO (014a31df) ;
RUNTEST 1 TCK;
SDR 27 TDI (014c05da) TDO (014c03db) ;
RUNTEST 1 TCK;
SDR 27 TDI (014c09fa) TDO (014c05db) ;
RUNTEST 1 TCK;
SDR 27 TDI (014c0dee) TDO (014c09fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (014c11de) TDO (014c0def) ;
RUNTEST 1 TCK;
SDR 27 TDI (014c23da) TDO (014c11df) ;
RUNTEST 1 TCK;
SDR 27 TDI (014c25da) TDO (014c23db) ;
RUNTEST 1 TCK;
SDR 27 TDI (014c29fa) TDO (014c25db) ;
RUNTEST 1 TCK;
SDR 27 TDI (014c2dee) TDO (014c29fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (014c31de) TDO (014c2def) ;
RUNTEST 1 TCK;
SDR 27 TDI (014c43da) TDO (014c31df) ;
RUNTEST 1 TCK;
SDR 27 TDI (014c45da) TDO (014c43db) ;
RUNTEST 1 TCK;
SDR 27 TDI (014c49fa) TDO (014c45db) ;
RUNTEST 1 TCK;
SDR 27 TDI (014c4dee) TDO (014c49fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (014c51de) TDO (014c4def) ;
RUNTEST 1 TCK;
SDR 27 TDI (014c63da) TDO (014c51df) ;
RUNTEST 1 TCK;
SDR 27 TDI (014c65da) TDO (014c63db) ;
RUNTEST 1 TCK;
SDR 27 TDI (014c69fa) TDO (014c65db) ;
RUNTEST 1 TCK;
SDR 27 TDI (014c6dee) TDO (014c69fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (014c71de) TDO (014c6def) ;
RUNTEST 1 TCK;
SDR 27 TDI (014c83da) TDO (014c71df) ;
RUNTEST 1 TCK;
SDR 27 TDI (014c85da) TDO (014c83db) ;
RUNTEST 1 TCK;
SDR 27 TDI (014c89fa) TDO (014c85db) ;
RUNTEST 1 TCK;
SDR 27 TDI (014c8dee) TDO (014c89fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (014c91de) TDO (014c8def) ;
RUNTEST 1 TCK;
SDR 27 TDI (014ca3da) TDO (014c91df) ;
RUNTEST 1 TCK;
SDR 27 TDI (014ca5da) TDO (014ca3db) ;
RUNTEST 1 TCK;
SDR 27 TDI (014ca9fa) TDO (014ca5db) ;
RUNTEST 1 TCK;
SDR 27 TDI (014cadee) TDO (014ca9fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (014cb1de) TDO (014cadef) ;
RUNTEST 1 TCK;
SDR 27 TDI (014cc3da) TDO (014cb1df) ;
RUNTEST 1 TCK;
SDR 27 TDI (014cc5da) TDO (014cc3db) ;
RUNTEST 1 TCK;
SDR 27 TDI (014cc9fa) TDO (014cc5db) ;
RUNTEST 1 TCK;
SDR 27 TDI (014ccdee) TDO (014cc9fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (014cd1de) TDO (014ccdef) ;
RUNTEST 1 TCK;
SDR 27 TDI (014ce3da) TDO (014cd1df) ;
RUNTEST 1 TCK;
SDR 27 TDI (014ce5da) TDO (014ce3db) ;
RUNTEST 1 TCK;
SDR 27 TDI (014ce9fa) TDO (014ce5db) ;
RUNTEST 1 TCK;
SDR 27 TDI (014cedee) TDO (014ce9fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (014cf1de) TDO (014cedef) ;
RUNTEST 1 TCK;
SDR 27 TDI (014d03da) TDO (014cf1df) ;
RUNTEST 1 TCK;
SDR 27 TDI (014d05da) TDO (014d03db) ;
RUNTEST 1 TCK;
SDR 27 TDI (014d09fa) TDO (014d05db) ;
RUNTEST 1 TCK;
SDR 27 TDI (014d0dee) TDO (014d09fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (014d11de) TDO (014d0def) ;
RUNTEST 1 TCK;
SDR 27 TDI (014d23da) TDO (014d11df) ;
RUNTEST 1 TCK;
SDR 27 TDI (014d25da) TDO (014d23db) ;
RUNTEST 1 TCK;
SDR 27 TDI (014d29fa) TDO (014d25db) ;
RUNTEST 1 TCK;
SDR 27 TDI (014d2dee) TDO (014d29fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (014d31de) TDO (014d2def) ;
RUNTEST 1 TCK;
SDR 27 TDI (014d43da) TDO (014d31df) ;
RUNTEST 1 TCK;
SDR 27 TDI (014d45da) TDO (014d43db) ;
RUNTEST 1 TCK;
SDR 27 TDI (014d49fa) TDO (014d45db) ;
RUNTEST 1 TCK;
SDR 27 TDI (014d4dee) TDO (014d49fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (014d51de) TDO (014d4def) ;
RUNTEST 1 TCK;
SDR 27 TDI (014d63da) TDO (014d51df) ;
RUNTEST 1 TCK;
SDR 27 TDI (014d65da) TDO (014d63db) ;
RUNTEST 1 TCK;
SDR 27 TDI (014d69fa) TDO (014d65db) ;
RUNTEST 1 TCK;
SDR 27 TDI (014d6dee) TDO (014d69fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (014d71de) TDO (014d6def) ;
RUNTEST 1 TCK;
SDR 27 TDI (014d83da) TDO (014d71df) ;
RUNTEST 1 TCK;
SDR 27 TDI (014d85da) TDO (014d83db) ;
RUNTEST 1 TCK;
SDR 27 TDI (014d89fa) TDO (014d85db) ;
RUNTEST 1 TCK;
SDR 27 TDI (014d8dee) TDO (014d89fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (014d91de) TDO (014d8def) ;
RUNTEST 1 TCK;
SDR 27 TDI (014da3da) TDO (014d91df) ;
RUNTEST 1 TCK;
SDR 27 TDI (014da5da) TDO (014da3db) ;
RUNTEST 1 TCK;
SDR 27 TDI (014da9fa) TDO (014da5db) ;
RUNTEST 1 TCK;
SDR 27 TDI (014dadee) TDO (014da9fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (014db1de) TDO (014dadef) ;
RUNTEST 1 TCK;
SDR 27 TDI (014dc3da) TDO (014db1df) ;
RUNTEST 1 TCK;
SDR 27 TDI (014dc5da) TDO (014dc3db) ;
RUNTEST 1 TCK;
SDR 27 TDI (014dc9fa) TDO (014dc5db) ;
RUNTEST 1 TCK;
SDR 27 TDI (014dcdee) TDO (014dc9fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (014dd1de) TDO (014dcdef) ;
RUNTEST 1 TCK;
SDR 27 TDI (014de3da) TDO (014dd1df) ;
RUNTEST 1 TCK;
SDR 27 TDI (014de5da) TDO (014de3db) ;
RUNTEST 1 TCK;
SDR 27 TDI (014de9fa) TDO (014de5db) ;
RUNTEST 1 TCK;
SDR 27 TDI (014dedee) TDO (014de9fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (014df1de) TDO (014dedef) ;
RUNTEST 1 TCK;
SDR 27 TDI (014e03da) TDO (014df1df) ;
RUNTEST 1 TCK;
SDR 27 TDI (014e05da) TDO (014e03db) ;
RUNTEST 1 TCK;
SDR 27 TDI (014e09fa) TDO (014e05db) ;
RUNTEST 1 TCK;
SDR 27 TDI (014e0dee) TDO (014e09fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (014e11de) TDO (014e0def) ;
RUNTEST 1 TCK;
SDR 27 TDI (014e23da) TDO (014e11df) ;
RUNTEST 1 TCK;
SDR 27 TDI (014e25da) TDO (014e23db) ;
RUNTEST 1 TCK;
SDR 27 TDI (014e29fa) TDO (014e25db) ;
RUNTEST 1 TCK;
SDR 27 TDI (014e2dee) TDO (014e29fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (014e31de) TDO (014e2def) ;
RUNTEST 1 TCK;
SDR 27 TDI (015003da) TDO (014e31df) ;
RUNTEST 1 TCK;
SDR 27 TDI (015005da) TDO (015003db) ;
RUNTEST 1 TCK;
SDR 27 TDI (015009fa) TDO (015005db) ;
RUNTEST 1 TCK;
SDR 27 TDI (01500dee) TDO (015009fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (015011de) TDO (01500def) ;
RUNTEST 1 TCK;
SDR 27 TDI (015023da) TDO (015011df) ;
RUNTEST 1 TCK;
SDR 27 TDI (015025da) TDO (015023db) ;
RUNTEST 1 TCK;
SDR 27 TDI (015029fa) TDO (015025db) ;
RUNTEST 1 TCK;
SDR 27 TDI (01502dee) TDO (015029fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (015031de) TDO (01502def) ;
RUNTEST 1 TCK;
SDR 27 TDI (015043da) TDO (015031df) ;
RUNTEST 1 TCK;
SDR 27 TDI (015045da) TDO (015043db) ;
RUNTEST 1 TCK;
SDR 27 TDI (015049fa) TDO (015045db) ;
RUNTEST 1 TCK;
SDR 27 TDI (01504dee) TDO (015049fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (015051de) TDO (01504def) ;
RUNTEST 1 TCK;
SDR 27 TDI (015063da) TDO (015051df) ;
RUNTEST 1 TCK;
SDR 27 TDI (015065da) TDO (015063db) ;
RUNTEST 1 TCK;
SDR 27 TDI (015069fa) TDO (015065db) ;
RUNTEST 1 TCK;
SDR 27 TDI (01506dee) TDO (015069fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (015071de) TDO (01506def) ;
RUNTEST 1 TCK;
SDR 27 TDI (015083da) TDO (015071df) ;
RUNTEST 1 TCK;
SDR 27 TDI (015085da) TDO (015083db) ;
RUNTEST 1 TCK;
SDR 27 TDI (015089fa) TDO (015085db) ;
RUNTEST 1 TCK;
SDR 27 TDI (01508dee) TDO (015089fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (015091de) TDO (01508def) ;
RUNTEST 1 TCK;
SDR 27 TDI (0150a3da) TDO (015091df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0150a5da) TDO (0150a3db) ;
RUNTEST 1 TCK;
SDR 27 TDI (0150a9fa) TDO (0150a5db) ;
RUNTEST 1 TCK;
SDR 27 TDI (0150adee) TDO (0150a9fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (0150b1de) TDO (0150adef) ;
RUNTEST 1 TCK;
SDR 27 TDI (0150c3da) TDO (0150b1df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0150c5da) TDO (0150c3db) ;
RUNTEST 1 TCK;
SDR 27 TDI (0150c9fa) TDO (0150c5db) ;
RUNTEST 1 TCK;
SDR 27 TDI (0150cdee) TDO (0150c9fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (0150d1de) TDO (0150cdef) ;
RUNTEST 1 TCK;
SDR 27 TDI (0150e3da) TDO (0150d1df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0150e5da) TDO (0150e3db) ;
RUNTEST 1 TCK;
SDR 27 TDI (0150e9fa) TDO (0150e5db) ;
RUNTEST 1 TCK;
SDR 27 TDI (0150edee) TDO (0150e9fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (0150f1de) TDO (0150edef) ;
RUNTEST 1 TCK;
SDR 27 TDI (015103da) TDO (0150f1df) ;
RUNTEST 1 TCK;
SDR 27 TDI (015105da) TDO (015103db) ;
RUNTEST 1 TCK;
SDR 27 TDI (015109fa) TDO (015105db) ;
RUNTEST 1 TCK;
SDR 27 TDI (01510dee) TDO (015109fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (015111de) TDO (01510def) ;
RUNTEST 1 TCK;
SDR 27 TDI (015123da) TDO (015111df) ;
RUNTEST 1 TCK;
SDR 27 TDI (015125da) TDO (015123db) ;
RUNTEST 1 TCK;
SDR 27 TDI (015129fa) TDO (015125db) ;
RUNTEST 1 TCK;
SDR 27 TDI (01512dee) TDO (015129fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (015131de) TDO (01512def) ;
RUNTEST 1 TCK;
SDR 27 TDI (015143da) TDO (015131df) ;
RUNTEST 1 TCK;
SDR 27 TDI (015145da) TDO (015143db) ;
RUNTEST 1 TCK;
SDR 27 TDI (015149fa) TDO (015145db) ;
RUNTEST 1 TCK;
SDR 27 TDI (01514dee) TDO (015149fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (015151de) TDO (01514def) ;
RUNTEST 1 TCK;
SDR 27 TDI (015163da) TDO (015151df) ;
RUNTEST 1 TCK;
SDR 27 TDI (015165fa) TDO (015163db) ;
RUNTEST 1 TCK;
SDR 27 TDI (015169fa) TDO (015165fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01516dee) TDO (015169fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (015171de) TDO (01516def) ;
RUNTEST 1 TCK;
SDR 27 TDI (015183da) TDO (015171df) ;
RUNTEST 1 TCK;
SDR 27 TDI (015185da) TDO (015183db) ;
RUNTEST 1 TCK;
SDR 27 TDI (015189fa) TDO (015185db) ;
RUNTEST 1 TCK;
SDR 27 TDI (01518dee) TDO (015189fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (015191de) TDO (01518def) ;
RUNTEST 1 TCK;
SDR 27 TDI (0151a3da) TDO (015191df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0151a5da) TDO (0151a3db) ;
RUNTEST 1 TCK;
SDR 27 TDI (0151a9fa) TDO (0151a5db) ;
RUNTEST 1 TCK;
SDR 27 TDI (0151adee) TDO (0151a9fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (0151b1de) TDO (0151adef) ;
RUNTEST 1 TCK;
SDR 27 TDI (0151c3da) TDO (0151b1df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0151c5da) TDO (0151c3db) ;
RUNTEST 1 TCK;
SDR 27 TDI (0151c9fa) TDO (0151c5db) ;
RUNTEST 1 TCK;
SDR 27 TDI (0151cdee) TDO (0151c9fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (0151d1de) TDO (0151cdef) ;
RUNTEST 1 TCK;
SDR 27 TDI (0151e3da) TDO (0151d1df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0151e5da) TDO (0151e3db) ;
RUNTEST 1 TCK;
SDR 27 TDI (0151e9fa) TDO (0151e5db) ;
RUNTEST 1 TCK;
SDR 27 TDI (0151edee) TDO (0151e9fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (0151f1de) TDO (0151edef) ;
RUNTEST 1 TCK;
SDR 27 TDI (015203da) TDO (0151f1df) ;
RUNTEST 1 TCK;
SDR 27 TDI (015205da) TDO (015203db) ;
RUNTEST 1 TCK;
SDR 27 TDI (015209fa) TDO (015205db) ;
RUNTEST 1 TCK;
SDR 27 TDI (01520dee) TDO (015209fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (015211de) TDO (01520def) ;
RUNTEST 1 TCK;
SDR 27 TDI (015223da) TDO (015211df) ;
RUNTEST 1 TCK;
SDR 27 TDI (015225da) TDO (015223db) ;
RUNTEST 1 TCK;
SDR 27 TDI (015229fa) TDO (015225db) ;
RUNTEST 1 TCK;
SDR 27 TDI (01522dee) TDO (015229fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (015231de) TDO (01522def) ;
RUNTEST 1 TCK;
SDR 27 TDI (015403da) TDO (015231df) ;
RUNTEST 1 TCK;
SDR 27 TDI (015405da) TDO (015403db) ;
RUNTEST 1 TCK;
SDR 27 TDI (015409fa) TDO (015405db) ;
RUNTEST 1 TCK;
SDR 27 TDI (01540dee) TDO (015409fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (015411de) TDO (01540def) ;
RUNTEST 1 TCK;
SDR 27 TDI (015423da) TDO (015411df) ;
RUNTEST 1 TCK;
SDR 27 TDI (015425da) TDO (015423db) ;
RUNTEST 1 TCK;
SDR 27 TDI (015429fa) TDO (015425db) ;
RUNTEST 1 TCK;
SDR 27 TDI (01542dee) TDO (015429fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (015431de) TDO (01542def) ;
RUNTEST 1 TCK;
SDR 27 TDI (015443da) TDO (015431df) ;
RUNTEST 1 TCK;
SDR 27 TDI (015445da) TDO (015443db) ;
RUNTEST 1 TCK;
SDR 27 TDI (015449fa) TDO (015445db) ;
RUNTEST 1 TCK;
SDR 27 TDI (01544dee) TDO (015449fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (015451de) TDO (01544def) ;
RUNTEST 1 TCK;
SDR 27 TDI (015463da) TDO (015451df) ;
RUNTEST 1 TCK;
SDR 27 TDI (015465da) TDO (015463db) ;
RUNTEST 1 TCK;
SDR 27 TDI (015469fa) TDO (015465db) ;
RUNTEST 1 TCK;
SDR 27 TDI (01546dee) TDO (015469fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (015471de) TDO (01546def) ;
RUNTEST 1 TCK;
SDR 27 TDI (015483da) TDO (015471df) ;
RUNTEST 1 TCK;
SDR 27 TDI (015485da) TDO (015483db) ;
RUNTEST 1 TCK;
SDR 27 TDI (015489fa) TDO (015485db) ;
RUNTEST 1 TCK;
SDR 27 TDI (01548dee) TDO (015489fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (015491de) TDO (01548def) ;
RUNTEST 1 TCK;
SDR 27 TDI (0154a3da) TDO (015491df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0154a5da) TDO (0154a3db) ;
RUNTEST 1 TCK;
SDR 27 TDI (0154a9fa) TDO (0154a5db) ;
RUNTEST 1 TCK;
SDR 27 TDI (0154adee) TDO (0154a9fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (0154b1de) TDO (0154adef) ;
RUNTEST 1 TCK;
SDR 27 TDI (0154c3da) TDO (0154b1df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0154c5da) TDO (0154c3db) ;
RUNTEST 1 TCK;
SDR 27 TDI (0154c9fa) TDO (0154c5db) ;
RUNTEST 1 TCK;
SDR 27 TDI (0154cdee) TDO (0154c9fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (0154d1de) TDO (0154cdef) ;
RUNTEST 1 TCK;
SDR 27 TDI (0154e3da) TDO (0154d1df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0154e5da) TDO (0154e3db) ;
RUNTEST 1 TCK;
SDR 27 TDI (0154e9fa) TDO (0154e5db) ;
RUNTEST 1 TCK;
SDR 27 TDI (0154edee) TDO (0154e9fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (0154f1de) TDO (0154edef) ;
RUNTEST 1 TCK;
SDR 27 TDI (015503da) TDO (0154f1df) ;
RUNTEST 1 TCK;
SDR 27 TDI (015505da) TDO (015503db) ;
RUNTEST 1 TCK;
SDR 27 TDI (015509fa) TDO (015505db) ;
RUNTEST 1 TCK;
SDR 27 TDI (01550dee) TDO (015509fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (015511de) TDO (01550def) ;
RUNTEST 1 TCK;
SDR 27 TDI (015523da) TDO (015511df) ;
RUNTEST 1 TCK;
SDR 27 TDI (015525da) TDO (015523db) ;
RUNTEST 1 TCK;
SDR 27 TDI (015529fa) TDO (015525db) ;
RUNTEST 1 TCK;
SDR 27 TDI (01552dee) TDO (015529fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (015531de) TDO (01552def) ;
RUNTEST 1 TCK;
SDR 27 TDI (015543da) TDO (015531df) ;
RUNTEST 1 TCK;
SDR 27 TDI (015545da) TDO (015543db) ;
RUNTEST 1 TCK;
SDR 27 TDI (015549fa) TDO (015545db) ;
RUNTEST 1 TCK;
SDR 27 TDI (01554dee) TDO (015549fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (015551de) TDO (01554def) ;
RUNTEST 1 TCK;
SDR 27 TDI (015563da) TDO (015551df) ;
RUNTEST 1 TCK;
SDR 27 TDI (015565da) TDO (015563db) ;
RUNTEST 1 TCK;
SDR 27 TDI (015569fa) TDO (015565db) ;
RUNTEST 1 TCK;
SDR 27 TDI (01556dee) TDO (015569fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (015571de) TDO (01556def) ;
RUNTEST 1 TCK;
SDR 27 TDI (015583da) TDO (015571df) ;
RUNTEST 1 TCK;
SDR 27 TDI (015585da) TDO (015583db) ;
RUNTEST 1 TCK;
SDR 27 TDI (015589fa) TDO (015585db) ;
RUNTEST 1 TCK;
SDR 27 TDI (01558dee) TDO (015589fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (015591de) TDO (01558def) ;
RUNTEST 1 TCK;
SDR 27 TDI (0155a3da) TDO (015591df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0155a5da) TDO (0155a3db) ;
RUNTEST 1 TCK;
SDR 27 TDI (0155a9fa) TDO (0155a5db) ;
RUNTEST 1 TCK;
SDR 27 TDI (0155adee) TDO (0155a9fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (0155b1de) TDO (0155adef) ;
RUNTEST 1 TCK;
SDR 27 TDI (0155c3da) TDO (0155b1df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0155c5da) TDO (0155c3db) ;
RUNTEST 1 TCK;
SDR 27 TDI (0155c9fa) TDO (0155c5db) ;
RUNTEST 1 TCK;
SDR 27 TDI (0155cdee) TDO (0155c9fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (0155d1de) TDO (0155cdef) ;
RUNTEST 1 TCK;
SDR 27 TDI (0155e3da) TDO (0155d1df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0155e5da) TDO (0155e3db) ;
RUNTEST 1 TCK;
SDR 27 TDI (0155e9fa) TDO (0155e5db) ;
RUNTEST 1 TCK;
SDR 27 TDI (0155edee) TDO (0155e9fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (0155f1de) TDO (0155edef) ;
RUNTEST 1 TCK;
SDR 27 TDI (015603da) TDO (0155f1df) ;
RUNTEST 1 TCK;
SDR 27 TDI (015605da) TDO (015603db) ;
RUNTEST 1 TCK;
SDR 27 TDI (015609fa) TDO (015605db) ;
RUNTEST 1 TCK;
SDR 27 TDI (01560dee) TDO (015609fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (015611de) TDO (01560def) ;
RUNTEST 1 TCK;
SDR 27 TDI (015623da) TDO (015611df) ;
RUNTEST 1 TCK;
SDR 27 TDI (015625da) TDO (015623db) ;
RUNTEST 1 TCK;
SDR 27 TDI (015629fa) TDO (015625db) ;
RUNTEST 1 TCK;
SDR 27 TDI (01562dee) TDO (015629fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (015631de) TDO (01562def) ;
RUNTEST 1 TCK;
SDR 27 TDI (01800302) TDO (015631df) ;
RUNTEST 1 TCK;
SDR 27 TDI (01800702) TDO (01800303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01800b02) TDO (01800703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01800f02) TDO (01800b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01801302) TDO (01800f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01802302) TDO (01801303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01802702) TDO (01802303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01802b02) TDO (01802703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01802f02) TDO (01802b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01803002) TDO (01802f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01804002) TDO (01803003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01804402) TDO (01804003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01804802) TDO (01804403) ;
RUNTEST 1 TCK;
SDR 27 TDI (01804c02) TDO (01804803) ;
RUNTEST 1 TCK;
SDR 27 TDI (01805002) TDO (01804c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01808302) TDO (01805003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01808702) TDO (01808303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01808b02) TDO (01808703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01808f02) TDO (01808b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01809302) TDO (01808f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0180a306) TDO (01809303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0180a706) TDO (0180a307) ;
RUNTEST 1 TCK;
SDR 27 TDI (0180ab02) TDO (0180a707) ;
RUNTEST 1 TCK;
SDR 27 TDI (0180af02) TDO (0180ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0180b002) TDO (0180af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0180c002) TDO (0180b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0180c402) TDO (0180c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0180c802) TDO (0180c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0180cc02) TDO (0180c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0180d002) TDO (0180cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01810302) TDO (0180d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01810702) TDO (01810303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01810b02) TDO (01810703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01810f02) TDO (01810b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01811302) TDO (01810f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01812306) TDO (01811303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01812706) TDO (01812307) ;
RUNTEST 1 TCK;
SDR 27 TDI (01812b02) TDO (01812707) ;
RUNTEST 1 TCK;
SDR 27 TDI (01812f02) TDO (01812b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01813002) TDO (01812f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01814002) TDO (01813003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01814402) TDO (01814003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01814802) TDO (01814403) ;
RUNTEST 1 TCK;
SDR 27 TDI (01814c02) TDO (01814803) ;
RUNTEST 1 TCK;
SDR 27 TDI (01815002) TDO (01814c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01818302) TDO (01815003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01818702) TDO (01818303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01818b02) TDO (01818703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01818f02) TDO (01818b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01819302) TDO (01818f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0181a302) TDO (01819303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0181a702) TDO (0181a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0181ab02) TDO (0181a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0181af02) TDO (0181ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0181b002) TDO (0181af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0181c002) TDO (0181b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0181c402) TDO (0181c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0181c802) TDO (0181c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0181cc02) TDO (0181c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0181d002) TDO (0181cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01820302) TDO (0181d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01820702) TDO (01820303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01820b02) TDO (01820703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01820f02) TDO (01820b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01821302) TDO (01820f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01822302) TDO (01821303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01822702) TDO (01822303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01822b02) TDO (01822703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01822f02) TDO (01822b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01823002) TDO (01822f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01824002) TDO (01823003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01824402) TDO (01824003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01824802) TDO (01824403) ;
RUNTEST 1 TCK;
SDR 27 TDI (01824c02) TDO (01824803) ;
RUNTEST 1 TCK;
SDR 27 TDI (01825002) TDO (01824c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01828302) TDO (01825003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01828702) TDO (01828303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01828b02) TDO (01828703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01828f02) TDO (01828b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01829302) TDO (01828f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0182a306) TDO (01829303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0182a706) TDO (0182a307) ;
RUNTEST 1 TCK;
SDR 27 TDI (0182ab02) TDO (0182a707) ;
RUNTEST 1 TCK;
SDR 27 TDI (0182af02) TDO (0182ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0182b002) TDO (0182af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0182c002) TDO (0182b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0182c402) TDO (0182c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0182c802) TDO (0182c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0182cc02) TDO (0182c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0182d002) TDO (0182cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01830302) TDO (0182d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01830702) TDO (01830303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01830b02) TDO (01830703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01830f02) TDO (01830b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01831302) TDO (01830f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01832306) TDO (01831303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01832702) TDO (01832307) ;
RUNTEST 1 TCK;
SDR 27 TDI (01832b02) TDO (01832703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01832f02) TDO (01832b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01833002) TDO (01832f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01834002) TDO (01833003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01834402) TDO (01834003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01834802) TDO (01834403) ;
RUNTEST 1 TCK;
SDR 27 TDI (01834c02) TDO (01834803) ;
RUNTEST 1 TCK;
SDR 27 TDI (01835002) TDO (01834c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01838302) TDO (01835003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01838702) TDO (01838303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01838b02) TDO (01838703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01838f02) TDO (01838b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01839302) TDO (01838f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0183a302) TDO (01839303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0183a706) TDO (0183a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0183ab02) TDO (0183a707) ;
RUNTEST 1 TCK;
SDR 27 TDI (0183af02) TDO (0183ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0183b002) TDO (0183af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0183c002) TDO (0183b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0183c402) TDO (0183c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0183c802) TDO (0183c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0183cc02) TDO (0183c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0183d002) TDO (0183cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01840302) TDO (0183d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01840702) TDO (01840303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01840b02) TDO (01840703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01840f02) TDO (01840b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01841302) TDO (01840f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01842302) TDO (01841303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01842702) TDO (01842303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01842b02) TDO (01842703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01842f02) TDO (01842b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01843002) TDO (01842f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01844002) TDO (01843003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01844402) TDO (01844003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01844802) TDO (01844403) ;
RUNTEST 1 TCK;
SDR 27 TDI (01844c02) TDO (01844803) ;
RUNTEST 1 TCK;
SDR 27 TDI (01845002) TDO (01844c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01848302) TDO (01845003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01848702) TDO (01848303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01848b02) TDO (01848703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01848f02) TDO (01848b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01849302) TDO (01848f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0184a302) TDO (01849303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0184a702) TDO (0184a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0184ab02) TDO (0184a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0184af02) TDO (0184ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0184b002) TDO (0184af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0184c002) TDO (0184b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0184c402) TDO (0184c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0184c802) TDO (0184c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0184cc02) TDO (0184c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0184d002) TDO (0184cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01850302) TDO (0184d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01850702) TDO (01850303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01850b02) TDO (01850703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01850f02) TDO (01850b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01851302) TDO (01850f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01852302) TDO (01851303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01852702) TDO (01852303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01852b02) TDO (01852703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01852f02) TDO (01852b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01853002) TDO (01852f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01854002) TDO (01853003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01854402) TDO (01854003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01854802) TDO (01854403) ;
RUNTEST 1 TCK;
SDR 27 TDI (01854c02) TDO (01854803) ;
RUNTEST 1 TCK;
SDR 27 TDI (01855002) TDO (01854c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01858302) TDO (01855003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01858702) TDO (01858303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01858b02) TDO (01858703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01858f02) TDO (01858b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01859302) TDO (01858f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0185a302) TDO (01859303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0185a702) TDO (0185a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0185ab02) TDO (0185a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0185af02) TDO (0185ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0185b002) TDO (0185af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0185c002) TDO (0185b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0185c402) TDO (0185c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0185c802) TDO (0185c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0185cc02) TDO (0185c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0185d002) TDO (0185cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01860302) TDO (0185d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01860602) TDO (01860303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01860b02) TDO (01860603) ;
RUNTEST 1 TCK;
SDR 27 TDI (01860f02) TDO (01860b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01861302) TDO (01860f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01862302) TDO (01861303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01862702) TDO (01862303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01862b02) TDO (01862703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01862f02) TDO (01862b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01863002) TDO (01862f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01864002) TDO (01863003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01864402) TDO (01864003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01864802) TDO (01864403) ;
RUNTEST 1 TCK;
SDR 27 TDI (01864c02) TDO (01864803) ;
RUNTEST 1 TCK;
SDR 27 TDI (01865002) TDO (01864c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01868302) TDO (01865003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01868702) TDO (01868303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01868b02) TDO (01868703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01868f02) TDO (01868b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01869302) TDO (01868f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0186a302) TDO (01869303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0186a702) TDO (0186a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0186ab02) TDO (0186a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0186af02) TDO (0186ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0186b002) TDO (0186af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0186c002) TDO (0186b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0186c402) TDO (0186c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0186c802) TDO (0186c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0186cc02) TDO (0186c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0186d002) TDO (0186cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01870302) TDO (0186d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01870602) TDO (01870303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01870b02) TDO (01870603) ;
RUNTEST 1 TCK;
SDR 27 TDI (01870f02) TDO (01870b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01871302) TDO (01870f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01872302) TDO (01871303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01872702) TDO (01872303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01872b02) TDO (01872703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01872f02) TDO (01872b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01873002) TDO (01872f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01874002) TDO (01873003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01874402) TDO (01874003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01874802) TDO (01874403) ;
RUNTEST 1 TCK;
SDR 27 TDI (01874c02) TDO (01874803) ;
RUNTEST 1 TCK;
SDR 27 TDI (01875002) TDO (01874c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01878302) TDO (01875003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01878702) TDO (01878303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01878b02) TDO (01878703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01878f02) TDO (01878b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01879302) TDO (01878f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0187a302) TDO (01879303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0187a702) TDO (0187a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0187ab02) TDO (0187a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0187af02) TDO (0187ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0187b002) TDO (0187af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0187c002) TDO (0187b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0187c402) TDO (0187c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0187c802) TDO (0187c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0187cc02) TDO (0187c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0187d002) TDO (0187cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01880302) TDO (0187d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01880602) TDO (01880303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01880b02) TDO (01880603) ;
RUNTEST 1 TCK;
SDR 27 TDI (01880f02) TDO (01880b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01881302) TDO (01880f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01882302) TDO (01881303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01882702) TDO (01882303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01882b02) TDO (01882703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01882f02) TDO (01882b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01883002) TDO (01882f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01884002) TDO (01883003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01884402) TDO (01884003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01884802) TDO (01884403) ;
RUNTEST 1 TCK;
SDR 27 TDI (01884c02) TDO (01884803) ;
RUNTEST 1 TCK;
SDR 27 TDI (01885002) TDO (01884c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01888302) TDO (01885003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01888602) TDO (01888303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01888b02) TDO (01888603) ;
RUNTEST 1 TCK;
SDR 27 TDI (01888f02) TDO (01888b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01889302) TDO (01888f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0188a302) TDO (01889303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0188a702) TDO (0188a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0188ab02) TDO (0188a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0188af02) TDO (0188ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0188b002) TDO (0188af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0188c002) TDO (0188b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0188c402) TDO (0188c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0188c802) TDO (0188c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0188cc02) TDO (0188c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0188d002) TDO (0188cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01890302) TDO (0188d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01890702) TDO (01890303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01890b02) TDO (01890703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01890f02) TDO (01890b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01891302) TDO (01890f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01892302) TDO (01891303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01892702) TDO (01892303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01892b02) TDO (01892703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01892f02) TDO (01892b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01893002) TDO (01892f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01894002) TDO (01893003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01894402) TDO (01894003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01894802) TDO (01894403) ;
RUNTEST 1 TCK;
SDR 27 TDI (01894c02) TDO (01894803) ;
RUNTEST 1 TCK;
SDR 27 TDI (01895002) TDO (01894c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01898302) TDO (01895003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01898702) TDO (01898303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01898b02) TDO (01898703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01898f02) TDO (01898b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01899302) TDO (01898f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0189a302) TDO (01899303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0189a702) TDO (0189a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0189ab02) TDO (0189a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0189af02) TDO (0189ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0189b002) TDO (0189af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0189c002) TDO (0189b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0189c402) TDO (0189c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0189c802) TDO (0189c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0189cc02) TDO (0189c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0189d002) TDO (0189cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (018a0302) TDO (0189d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (018a0702) TDO (018a0303) ;
RUNTEST 1 TCK;
SDR 27 TDI (018a0b02) TDO (018a0703) ;
RUNTEST 1 TCK;
SDR 27 TDI (018a0f02) TDO (018a0b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (018a1302) TDO (018a0f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (018a2302) TDO (018a1303) ;
RUNTEST 1 TCK;
SDR 27 TDI (018a2702) TDO (018a2303) ;
RUNTEST 1 TCK;
SDR 27 TDI (018a2b02) TDO (018a2703) ;
RUNTEST 1 TCK;
SDR 27 TDI (018a2f02) TDO (018a2b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (018a3002) TDO (018a2f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (018a4002) TDO (018a3003) ;
RUNTEST 1 TCK;
SDR 27 TDI (018a4402) TDO (018a4003) ;
RUNTEST 1 TCK;
SDR 27 TDI (018a4802) TDO (018a4403) ;
RUNTEST 1 TCK;
SDR 27 TDI (018a4c02) TDO (018a4803) ;
RUNTEST 1 TCK;
SDR 27 TDI (018a5002) TDO (018a4c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (018a8302) TDO (018a5003) ;
RUNTEST 1 TCK;
SDR 27 TDI (018a8702) TDO (018a8303) ;
RUNTEST 1 TCK;
SDR 27 TDI (018a8b02) TDO (018a8703) ;
RUNTEST 1 TCK;
SDR 27 TDI (018a8f02) TDO (018a8b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (018a9302) TDO (018a8f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (018aa302) TDO (018a9303) ;
RUNTEST 1 TCK;
SDR 27 TDI (018aa702) TDO (018aa303) ;
RUNTEST 1 TCK;
SDR 27 TDI (018aab02) TDO (018aa703) ;
RUNTEST 1 TCK;
SDR 27 TDI (018aaf02) TDO (018aab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (018ab002) TDO (018aaf03) ;
RUNTEST 1 TCK;
SDR 27 TDI (018ac002) TDO (018ab003) ;
RUNTEST 1 TCK;
SDR 27 TDI (018ac402) TDO (018ac003) ;
RUNTEST 1 TCK;
SDR 27 TDI (018ac802) TDO (018ac403) ;
RUNTEST 1 TCK;
SDR 27 TDI (018acc02) TDO (018ac803) ;
RUNTEST 1 TCK;
SDR 27 TDI (018ad002) TDO (018acc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (018b0302) TDO (018ad003) ;
RUNTEST 1 TCK;
SDR 27 TDI (018b0702) TDO (018b0303) ;
RUNTEST 1 TCK;
SDR 27 TDI (018b0b02) TDO (018b0703) ;
RUNTEST 1 TCK;
SDR 27 TDI (018b0f02) TDO (018b0b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (018b1302) TDO (018b0f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (018b2302) TDO (018b1303) ;
RUNTEST 1 TCK;
SDR 27 TDI (018b2702) TDO (018b2303) ;
RUNTEST 1 TCK;
SDR 27 TDI (018b2b02) TDO (018b2703) ;
RUNTEST 1 TCK;
SDR 27 TDI (018b2f02) TDO (018b2b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (018b3002) TDO (018b2f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (018b4002) TDO (018b3003) ;
RUNTEST 1 TCK;
SDR 27 TDI (018b4402) TDO (018b4003) ;
RUNTEST 1 TCK;
SDR 27 TDI (018b4802) TDO (018b4403) ;
RUNTEST 1 TCK;
SDR 27 TDI (018b4c02) TDO (018b4803) ;
RUNTEST 1 TCK;
SDR 27 TDI (018b5002) TDO (018b4c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (018b8302) TDO (018b5003) ;
RUNTEST 1 TCK;
SDR 27 TDI (018b8702) TDO (018b8303) ;
RUNTEST 1 TCK;
SDR 27 TDI (018b8b02) TDO (018b8703) ;
RUNTEST 1 TCK;
SDR 27 TDI (018b8f02) TDO (018b8b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (018b9302) TDO (018b8f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (018ba302) TDO (018b9303) ;
RUNTEST 1 TCK;
SDR 27 TDI (018ba702) TDO (018ba303) ;
RUNTEST 1 TCK;
SDR 27 TDI (018bab02) TDO (018ba703) ;
RUNTEST 1 TCK;
SDR 27 TDI (018baf02) TDO (018bab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (018bb002) TDO (018baf03) ;
RUNTEST 1 TCK;
SDR 27 TDI (018bc002) TDO (018bb003) ;
RUNTEST 1 TCK;
SDR 27 TDI (018bc402) TDO (018bc003) ;
RUNTEST 1 TCK;
SDR 27 TDI (018bc802) TDO (018bc403) ;
RUNTEST 1 TCK;
SDR 27 TDI (018bcc02) TDO (018bc803) ;
RUNTEST 1 TCK;
SDR 27 TDI (018bd002) TDO (018bcc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (018c0302) TDO (018bd003) ;
RUNTEST 1 TCK;
SDR 27 TDI (018c0702) TDO (018c0303) ;
RUNTEST 1 TCK;
SDR 27 TDI (018c0b02) TDO (018c0703) ;
RUNTEST 1 TCK;
SDR 27 TDI (018c0f02) TDO (018c0b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (018c1302) TDO (018c0f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (018c2302) TDO (018c1303) ;
RUNTEST 1 TCK;
SDR 27 TDI (018c2702) TDO (018c2303) ;
RUNTEST 1 TCK;
SDR 27 TDI (018c2b02) TDO (018c2703) ;
RUNTEST 1 TCK;
SDR 27 TDI (018c2f02) TDO (018c2b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (018c3002) TDO (018c2f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (018c4002) TDO (018c3003) ;
RUNTEST 1 TCK;
SDR 27 TDI (018c4402) TDO (018c4003) ;
RUNTEST 1 TCK;
SDR 27 TDI (018c4802) TDO (018c4403) ;
RUNTEST 1 TCK;
SDR 27 TDI (018c4c02) TDO (018c4803) ;
RUNTEST 1 TCK;
SDR 27 TDI (018c5002) TDO (018c4c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (018c8302) TDO (018c5003) ;
RUNTEST 1 TCK;
SDR 27 TDI (018c8702) TDO (018c8303) ;
RUNTEST 1 TCK;
SDR 27 TDI (018c8b02) TDO (018c8703) ;
RUNTEST 1 TCK;
SDR 27 TDI (018c8f02) TDO (018c8b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (018c9302) TDO (018c8f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (018ca302) TDO (018c9303) ;
RUNTEST 1 TCK;
SDR 27 TDI (018ca702) TDO (018ca303) ;
RUNTEST 1 TCK;
SDR 27 TDI (018cab02) TDO (018ca703) ;
RUNTEST 1 TCK;
SDR 27 TDI (018caf02) TDO (018cab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (018cb002) TDO (018caf03) ;
RUNTEST 1 TCK;
SDR 27 TDI (018cc002) TDO (018cb003) ;
RUNTEST 1 TCK;
SDR 27 TDI (018cc402) TDO (018cc003) ;
RUNTEST 1 TCK;
SDR 27 TDI (018cc802) TDO (018cc403) ;
RUNTEST 1 TCK;
SDR 27 TDI (018ccc02) TDO (018cc803) ;
RUNTEST 1 TCK;
SDR 27 TDI (018cd002) TDO (018ccc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (018d0302) TDO (018cd003) ;
RUNTEST 1 TCK;
SDR 27 TDI (018d0602) TDO (018d0303) ;
RUNTEST 1 TCK;
SDR 27 TDI (018d0b02) TDO (018d0603) ;
RUNTEST 1 TCK;
SDR 27 TDI (018d0f02) TDO (018d0b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (018d1302) TDO (018d0f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (018d2302) TDO (018d1303) ;
RUNTEST 1 TCK;
SDR 27 TDI (018d2502) TDO (018d2303) ;
RUNTEST 1 TCK;
SDR 27 TDI (018d2a02) TDO (018d2503) ;
RUNTEST 1 TCK;
SDR 27 TDI (018d2f02) TDO (018d2a03) ;
RUNTEST 1 TCK;
SDR 27 TDI (018d3002) TDO (018d2f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (018d4002) TDO (018d3003) ;
RUNTEST 1 TCK;
SDR 27 TDI (018d4402) TDO (018d4003) ;
RUNTEST 1 TCK;
SDR 27 TDI (018d4802) TDO (018d4403) ;
RUNTEST 1 TCK;
SDR 27 TDI (018d4c02) TDO (018d4803) ;
RUNTEST 1 TCK;
SDR 27 TDI (018d5002) TDO (018d4c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (018d8302) TDO (018d5003) ;
RUNTEST 1 TCK;
SDR 27 TDI (018d8702) TDO (018d8303) ;
RUNTEST 1 TCK;
SDR 27 TDI (018d8b02) TDO (018d8703) ;
RUNTEST 1 TCK;
SDR 27 TDI (018d8f02) TDO (018d8b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (018d9302) TDO (018d8f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (018da302) TDO (018d9303) ;
RUNTEST 1 TCK;
SDR 27 TDI (018da502) TDO (018da303) ;
RUNTEST 1 TCK;
SDR 27 TDI (018daa02) TDO (018da503) ;
RUNTEST 1 TCK;
SDR 27 TDI (018daf02) TDO (018daa03) ;
RUNTEST 1 TCK;
SDR 27 TDI (018db002) TDO (018daf03) ;
RUNTEST 1 TCK;
SDR 27 TDI (018dc002) TDO (018db003) ;
RUNTEST 1 TCK;
SDR 27 TDI (018dc402) TDO (018dc003) ;
RUNTEST 1 TCK;
SDR 27 TDI (018dc802) TDO (018dc403) ;
RUNTEST 1 TCK;
SDR 27 TDI (018dcc02) TDO (018dc803) ;
RUNTEST 1 TCK;
SDR 27 TDI (018dd002) TDO (018dcc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (018e0302) TDO (018dd003) ;
RUNTEST 1 TCK;
SDR 27 TDI (018e0602) TDO (018e0303) ;
RUNTEST 1 TCK;
SDR 27 TDI (018e0b02) TDO (018e0603) ;
RUNTEST 1 TCK;
SDR 27 TDI (018e0f02) TDO (018e0b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (018e1302) TDO (018e0f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (018e2302) TDO (018e1303) ;
RUNTEST 1 TCK;
SDR 27 TDI (018e2702) TDO (018e2303) ;
RUNTEST 1 TCK;
SDR 27 TDI (018e2b02) TDO (018e2703) ;
RUNTEST 1 TCK;
SDR 27 TDI (018e2f02) TDO (018e2b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (018e3002) TDO (018e2f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (018e4002) TDO (018e3003) ;
RUNTEST 1 TCK;
SDR 27 TDI (018e4402) TDO (018e4003) ;
RUNTEST 1 TCK;
SDR 27 TDI (018e4802) TDO (018e4403) ;
RUNTEST 1 TCK;
SDR 27 TDI (018e4c02) TDO (018e4803) ;
RUNTEST 1 TCK;
SDR 27 TDI (018e5002) TDO (018e4c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (018e8302) TDO (018e5003) ;
RUNTEST 1 TCK;
SDR 27 TDI (018e8702) TDO (018e8303) ;
RUNTEST 1 TCK;
SDR 27 TDI (018e8b02) TDO (018e8703) ;
RUNTEST 1 TCK;
SDR 27 TDI (018e8f02) TDO (018e8b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (018e9302) TDO (018e8f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (018ea302) TDO (018e9303) ;
RUNTEST 1 TCK;
SDR 27 TDI (018ea702) TDO (018ea303) ;
RUNTEST 1 TCK;
SDR 27 TDI (018eab02) TDO (018ea703) ;
RUNTEST 1 TCK;
SDR 27 TDI (018eaf02) TDO (018eab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (018eb002) TDO (018eaf03) ;
RUNTEST 1 TCK;
SDR 27 TDI (018ec002) TDO (018eb003) ;
RUNTEST 1 TCK;
SDR 27 TDI (018ec402) TDO (018ec003) ;
RUNTEST 1 TCK;
SDR 27 TDI (018ec802) TDO (018ec403) ;
RUNTEST 1 TCK;
SDR 27 TDI (018ecc02) TDO (018ec803) ;
RUNTEST 1 TCK;
SDR 27 TDI (018ed002) TDO (018ecc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (018f0302) TDO (018ed003) ;
RUNTEST 1 TCK;
SDR 27 TDI (018f0702) TDO (018f0303) ;
RUNTEST 1 TCK;
SDR 27 TDI (018f0b02) TDO (018f0703) ;
RUNTEST 1 TCK;
SDR 27 TDI (018f0f02) TDO (018f0b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (018f1302) TDO (018f0f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (018f2302) TDO (018f1303) ;
RUNTEST 1 TCK;
SDR 27 TDI (018f2702) TDO (018f2303) ;
RUNTEST 1 TCK;
SDR 27 TDI (018f2b02) TDO (018f2703) ;
RUNTEST 1 TCK;
SDR 27 TDI (018f2f02) TDO (018f2b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (018f3002) TDO (018f2f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (018f4002) TDO (018f3003) ;
RUNTEST 1 TCK;
SDR 27 TDI (018f4402) TDO (018f4003) ;
RUNTEST 1 TCK;
SDR 27 TDI (018f4802) TDO (018f4403) ;
RUNTEST 1 TCK;
SDR 27 TDI (018f4c02) TDO (018f4803) ;
RUNTEST 1 TCK;
SDR 27 TDI (018f5002) TDO (018f4c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (018f8302) TDO (018f5003) ;
RUNTEST 1 TCK;
SDR 27 TDI (018f8702) TDO (018f8303) ;
RUNTEST 1 TCK;
SDR 27 TDI (018f8b02) TDO (018f8703) ;
RUNTEST 1 TCK;
SDR 27 TDI (018f8f02) TDO (018f8b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (018f9302) TDO (018f8f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (018fa302) TDO (018f9303) ;
RUNTEST 1 TCK;
SDR 27 TDI (018fa702) TDO (018fa303) ;
RUNTEST 1 TCK;
SDR 27 TDI (018fab02) TDO (018fa703) ;
RUNTEST 1 TCK;
SDR 27 TDI (018faf02) TDO (018fab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (018fb002) TDO (018faf03) ;
RUNTEST 1 TCK;
SDR 27 TDI (018fc002) TDO (018fb003) ;
RUNTEST 1 TCK;
SDR 27 TDI (018fc402) TDO (018fc003) ;
RUNTEST 1 TCK;
SDR 27 TDI (018fc802) TDO (018fc403) ;
RUNTEST 1 TCK;
SDR 27 TDI (018fcc02) TDO (018fc803) ;
RUNTEST 1 TCK;
SDR 27 TDI (018fd002) TDO (018fcc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01900302) TDO (018fd003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01900702) TDO (01900303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01900b02) TDO (01900703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01900f02) TDO (01900b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01901302) TDO (01900f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01902302) TDO (01901303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01902702) TDO (01902303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01902b02) TDO (01902703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01902f02) TDO (01902b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01903002) TDO (01902f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01904002) TDO (01903003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01904402) TDO (01904003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01904802) TDO (01904403) ;
RUNTEST 1 TCK;
SDR 27 TDI (01904c02) TDO (01904803) ;
RUNTEST 1 TCK;
SDR 27 TDI (01905002) TDO (01904c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01908302) TDO (01905003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01908702) TDO (01908303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01908b02) TDO (01908703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01908f02) TDO (01908b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01909302) TDO (01908f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0190a302) TDO (01909303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0190a702) TDO (0190a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0190ab02) TDO (0190a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0190af02) TDO (0190ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0190b002) TDO (0190af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0190c002) TDO (0190b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0190c402) TDO (0190c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0190c802) TDO (0190c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0190cc02) TDO (0190c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0190d002) TDO (0190cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01910302) TDO (0190d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01910702) TDO (01910303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01910b02) TDO (01910703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01910f02) TDO (01910b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01911302) TDO (01910f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01912302) TDO (01911303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01912702) TDO (01912303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01912b02) TDO (01912703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01912f02) TDO (01912b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01913002) TDO (01912f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01914002) TDO (01913003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01914402) TDO (01914003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01914802) TDO (01914403) ;
RUNTEST 1 TCK;
SDR 27 TDI (01914c02) TDO (01914803) ;
RUNTEST 1 TCK;
SDR 27 TDI (01915002) TDO (01914c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01918002) TDO (01915003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01918502) TDO (01918003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01918802) TDO (01918503) ;
RUNTEST 1 TCK;
SDR 27 TDI (01918c02) TDO (01918803) ;
RUNTEST 1 TCK;
SDR 27 TDI (01919002) TDO (01918c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0191a002) TDO (01919003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0191a402) TDO (0191a003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0191a802) TDO (0191a403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0191ac02) TDO (0191a803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0191b002) TDO (0191ac03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0191c002) TDO (0191b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0191c402) TDO (0191c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0191c802) TDO (0191c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0191cc02) TDO (0191c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0191d002) TDO (0191cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01920302) TDO (0191d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01920702) TDO (01920303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01920b02) TDO (01920703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01920f02) TDO (01920b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01921302) TDO (01920f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01922302) TDO (01921303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01922706) TDO (01922303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01922b02) TDO (01922707) ;
RUNTEST 1 TCK;
SDR 27 TDI (01922f02) TDO (01922b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01923002) TDO (01922f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01924002) TDO (01923003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01924402) TDO (01924003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01924802) TDO (01924403) ;
RUNTEST 1 TCK;
SDR 27 TDI (01924c02) TDO (01924803) ;
RUNTEST 1 TCK;
SDR 27 TDI (01925002) TDO (01924c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01928302) TDO (01925003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01928602) TDO (01928303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01928b02) TDO (01928603) ;
RUNTEST 1 TCK;
SDR 27 TDI (01928f02) TDO (01928b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01929302) TDO (01928f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0192a306) TDO (01929303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0192a702) TDO (0192a307) ;
RUNTEST 1 TCK;
SDR 27 TDI (0192ab02) TDO (0192a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0192af02) TDO (0192ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0192b002) TDO (0192af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0192c002) TDO (0192b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0192c402) TDO (0192c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0192c802) TDO (0192c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0192cc02) TDO (0192c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0192d002) TDO (0192cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01930302) TDO (0192d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01930702) TDO (01930303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01930b02) TDO (01930703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01930f02) TDO (01930b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01931302) TDO (01930f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01932302) TDO (01931303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01932702) TDO (01932303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01932b02) TDO (01932703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01932f02) TDO (01932b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01933002) TDO (01932f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01934002) TDO (01933003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01934402) TDO (01934003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01934802) TDO (01934403) ;
RUNTEST 1 TCK;
SDR 27 TDI (01934c02) TDO (01934803) ;
RUNTEST 1 TCK;
SDR 27 TDI (01935002) TDO (01934c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01938302) TDO (01935003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01938702) TDO (01938303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01938b02) TDO (01938703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01938f02) TDO (01938b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01939302) TDO (01938f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0193a302) TDO (01939303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0193a702) TDO (0193a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0193ab02) TDO (0193a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0193af02) TDO (0193ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0193b002) TDO (0193af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0193c002) TDO (0193b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0193c402) TDO (0193c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0193c802) TDO (0193c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0193cc02) TDO (0193c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0193d002) TDO (0193cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01940302) TDO (0193d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01940602) TDO (01940303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01940b02) TDO (01940603) ;
RUNTEST 1 TCK;
SDR 27 TDI (01940f02) TDO (01940b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01941302) TDO (01940f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01942302) TDO (01941303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01942702) TDO (01942303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01942b02) TDO (01942703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01942f02) TDO (01942b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01943002) TDO (01942f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01944002) TDO (01943003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01944402) TDO (01944003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01944802) TDO (01944403) ;
RUNTEST 1 TCK;
SDR 27 TDI (01944c02) TDO (01944803) ;
RUNTEST 1 TCK;
SDR 27 TDI (01945002) TDO (01944c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01948302) TDO (01945003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01948702) TDO (01948303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01948b02) TDO (01948703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01948f02) TDO (01948b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01949302) TDO (01948f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0194a302) TDO (01949303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0194a702) TDO (0194a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0194ab02) TDO (0194a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0194af02) TDO (0194ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0194b002) TDO (0194af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0194c002) TDO (0194b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0194c402) TDO (0194c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0194c802) TDO (0194c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0194cc02) TDO (0194c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0194d002) TDO (0194cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01950302) TDO (0194d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01950702) TDO (01950303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01950b02) TDO (01950703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01950f02) TDO (01950b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01951302) TDO (01950f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01952302) TDO (01951303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01952702) TDO (01952303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01952b02) TDO (01952703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01952f02) TDO (01952b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01953002) TDO (01952f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01954002) TDO (01953003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01954402) TDO (01954003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01954802) TDO (01954403) ;
RUNTEST 1 TCK;
SDR 27 TDI (01954c02) TDO (01954803) ;
RUNTEST 1 TCK;
SDR 27 TDI (01955002) TDO (01954c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01958302) TDO (01955003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01958702) TDO (01958303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01958b02) TDO (01958703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01958f02) TDO (01958b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01959302) TDO (01958f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0195a302) TDO (01959303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0195a702) TDO (0195a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0195ab02) TDO (0195a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0195af02) TDO (0195ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0195b002) TDO (0195af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0195c002) TDO (0195b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0195c402) TDO (0195c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0195c802) TDO (0195c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0195cc02) TDO (0195c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0195d002) TDO (0195cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01960002) TDO (0195d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01960402) TDO (01960003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01960802) TDO (01960403) ;
RUNTEST 1 TCK;
SDR 27 TDI (01960c02) TDO (01960803) ;
RUNTEST 1 TCK;
SDR 27 TDI (01961002) TDO (01960c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01962002) TDO (01961003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01962402) TDO (01962003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01962802) TDO (01962403) ;
RUNTEST 1 TCK;
SDR 27 TDI (01962c02) TDO (01962803) ;
RUNTEST 1 TCK;
SDR 27 TDI (01963002) TDO (01962c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01964002) TDO (01963003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01964402) TDO (01964003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01964802) TDO (01964403) ;
RUNTEST 1 TCK;
SDR 27 TDI (01964c02) TDO (01964803) ;
RUNTEST 1 TCK;
SDR 27 TDI (01965002) TDO (01964c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01968302) TDO (01965003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01968702) TDO (01968303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01968b02) TDO (01968703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01968f02) TDO (01968b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01969302) TDO (01968f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0196a302) TDO (01969303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0196a702) TDO (0196a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0196ab02) TDO (0196a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0196af02) TDO (0196ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0196b002) TDO (0196af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0196c002) TDO (0196b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0196c402) TDO (0196c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0196c802) TDO (0196c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0196cc02) TDO (0196c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0196d002) TDO (0196cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01970302) TDO (0196d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01970702) TDO (01970303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01970b02) TDO (01970703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01970f02) TDO (01970b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01971302) TDO (01970f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01972302) TDO (01971303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01972702) TDO (01972303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01972b02) TDO (01972703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01972f02) TDO (01972b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01973002) TDO (01972f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01974002) TDO (01973003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01974402) TDO (01974003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01974802) TDO (01974403) ;
RUNTEST 1 TCK;
SDR 27 TDI (01974c02) TDO (01974803) ;
RUNTEST 1 TCK;
SDR 27 TDI (01975002) TDO (01974c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01978302) TDO (01975003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01978702) TDO (01978303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01978b02) TDO (01978703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01978f02) TDO (01978b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01979302) TDO (01978f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0197a302) TDO (01979303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0197a702) TDO (0197a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0197ab02) TDO (0197a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0197af02) TDO (0197ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0197b002) TDO (0197af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0197c002) TDO (0197b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0197c402) TDO (0197c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0197c802) TDO (0197c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0197cc02) TDO (0197c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0197d002) TDO (0197cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01980302) TDO (0197d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01980702) TDO (01980303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01980b02) TDO (01980703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01980f02) TDO (01980b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01981302) TDO (01980f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01982302) TDO (01981303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01982702) TDO (01982303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01982b02) TDO (01982703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01982f02) TDO (01982b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01983002) TDO (01982f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01984002) TDO (01983003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01984402) TDO (01984003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01984802) TDO (01984403) ;
RUNTEST 1 TCK;
SDR 27 TDI (01984c02) TDO (01984803) ;
RUNTEST 1 TCK;
SDR 27 TDI (01985002) TDO (01984c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01988302) TDO (01985003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01988602) TDO (01988303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01988b02) TDO (01988603) ;
RUNTEST 1 TCK;
SDR 27 TDI (01988f02) TDO (01988b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01989302) TDO (01988f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0198a302) TDO (01989303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0198a502) TDO (0198a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0198aa02) TDO (0198a503) ;
RUNTEST 1 TCK;
SDR 27 TDI (0198af02) TDO (0198aa03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0198b002) TDO (0198af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0198c002) TDO (0198b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0198c402) TDO (0198c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0198c802) TDO (0198c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0198cc02) TDO (0198c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0198d002) TDO (0198cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01990302) TDO (0198d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01990602) TDO (01990303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01990b02) TDO (01990603) ;
RUNTEST 1 TCK;
SDR 27 TDI (01990f02) TDO (01990b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01991302) TDO (01990f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01992302) TDO (01991303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01992702) TDO (01992303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01992b02) TDO (01992703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01992f02) TDO (01992b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01993002) TDO (01992f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01994002) TDO (01993003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01994402) TDO (01994003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01994802) TDO (01994403) ;
RUNTEST 1 TCK;
SDR 27 TDI (01994c02) TDO (01994803) ;
RUNTEST 1 TCK;
SDR 27 TDI (01995002) TDO (01994c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01998302) TDO (01995003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01998602) TDO (01998303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01998b02) TDO (01998603) ;
RUNTEST 1 TCK;
SDR 27 TDI (01998f02) TDO (01998b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01999302) TDO (01998f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0199a302) TDO (01999303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0199a702) TDO (0199a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0199ab02) TDO (0199a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0199af02) TDO (0199ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0199b002) TDO (0199af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0199c002) TDO (0199b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0199c402) TDO (0199c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0199c802) TDO (0199c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0199cc02) TDO (0199c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0199d002) TDO (0199cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (019a0302) TDO (0199d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (019a0602) TDO (019a0303) ;
RUNTEST 1 TCK;
SDR 27 TDI (019a0b02) TDO (019a0603) ;
RUNTEST 1 TCK;
SDR 27 TDI (019a0f02) TDO (019a0b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (019a1302) TDO (019a0f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (019a2302) TDO (019a1303) ;
RUNTEST 1 TCK;
SDR 27 TDI (019a2702) TDO (019a2303) ;
RUNTEST 1 TCK;
SDR 27 TDI (019a2b02) TDO (019a2703) ;
RUNTEST 1 TCK;
SDR 27 TDI (019a2f02) TDO (019a2b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (019a3002) TDO (019a2f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (019a4002) TDO (019a3003) ;
RUNTEST 1 TCK;
SDR 27 TDI (019a4402) TDO (019a4003) ;
RUNTEST 1 TCK;
SDR 27 TDI (019a4802) TDO (019a4403) ;
RUNTEST 1 TCK;
SDR 27 TDI (019a4c02) TDO (019a4803) ;
RUNTEST 1 TCK;
SDR 27 TDI (019a5002) TDO (019a4c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (019a8302) TDO (019a5003) ;
RUNTEST 1 TCK;
SDR 27 TDI (019a8702) TDO (019a8303) ;
RUNTEST 1 TCK;
SDR 27 TDI (019a8b02) TDO (019a8703) ;
RUNTEST 1 TCK;
SDR 27 TDI (019a8f02) TDO (019a8b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (019a9302) TDO (019a8f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (019aa302) TDO (019a9303) ;
RUNTEST 1 TCK;
SDR 27 TDI (019aa702) TDO (019aa303) ;
RUNTEST 1 TCK;
SDR 27 TDI (019aab02) TDO (019aa703) ;
RUNTEST 1 TCK;
SDR 27 TDI (019aaf02) TDO (019aab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (019ab002) TDO (019aaf03) ;
RUNTEST 1 TCK;
SDR 27 TDI (019ac002) TDO (019ab003) ;
RUNTEST 1 TCK;
SDR 27 TDI (019ac402) TDO (019ac003) ;
RUNTEST 1 TCK;
SDR 27 TDI (019ac802) TDO (019ac403) ;
RUNTEST 1 TCK;
SDR 27 TDI (019acc02) TDO (019ac803) ;
RUNTEST 1 TCK;
SDR 27 TDI (019ad002) TDO (019acc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (019b0302) TDO (019ad003) ;
RUNTEST 1 TCK;
SDR 27 TDI (019b0702) TDO (019b0303) ;
RUNTEST 1 TCK;
SDR 27 TDI (019b0b02) TDO (019b0703) ;
RUNTEST 1 TCK;
SDR 27 TDI (019b0f02) TDO (019b0b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (019b1302) TDO (019b0f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (019b2302) TDO (019b1303) ;
RUNTEST 1 TCK;
SDR 27 TDI (019b2702) TDO (019b2303) ;
RUNTEST 1 TCK;
SDR 27 TDI (019b2b02) TDO (019b2703) ;
RUNTEST 1 TCK;
SDR 27 TDI (019b2f02) TDO (019b2b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (019b3002) TDO (019b2f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (019b4002) TDO (019b3003) ;
RUNTEST 1 TCK;
SDR 27 TDI (019b4402) TDO (019b4003) ;
RUNTEST 1 TCK;
SDR 27 TDI (019b4802) TDO (019b4403) ;
RUNTEST 1 TCK;
SDR 27 TDI (019b4c02) TDO (019b4803) ;
RUNTEST 1 TCK;
SDR 27 TDI (019b5002) TDO (019b4c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (019b8202) TDO (019b5003) ;
RUNTEST 1 TCK;
SDR 27 TDI (019b8702) TDO (019b8203) ;
RUNTEST 1 TCK;
SDR 27 TDI (019b8b02) TDO (019b8703) ;
RUNTEST 1 TCK;
SDR 27 TDI (019b8d02) TDO (019b8b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (019b9302) TDO (019b8d03) ;
RUNTEST 1 TCK;
SDR 27 TDI (019ba302) TDO (019b9303) ;
RUNTEST 1 TCK;
SDR 27 TDI (019ba602) TDO (019ba303) ;
RUNTEST 1 TCK;
SDR 27 TDI (019bab02) TDO (019ba603) ;
RUNTEST 1 TCK;
SDR 27 TDI (019baf02) TDO (019bab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (019bb002) TDO (019baf03) ;
RUNTEST 1 TCK;
SDR 27 TDI (019bc002) TDO (019bb003) ;
RUNTEST 1 TCK;
SDR 27 TDI (019bc402) TDO (019bc003) ;
RUNTEST 1 TCK;
SDR 27 TDI (019bc802) TDO (019bc403) ;
RUNTEST 1 TCK;
SDR 27 TDI (019bcc02) TDO (019bc803) ;
RUNTEST 1 TCK;
SDR 27 TDI (019bd002) TDO (019bcc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (019c0302) TDO (019bd003) ;
RUNTEST 1 TCK;
SDR 27 TDI (019c0602) TDO (019c0303) ;
RUNTEST 1 TCK;
SDR 27 TDI (019c0b02) TDO (019c0603) ;
RUNTEST 1 TCK;
SDR 27 TDI (019c0f02) TDO (019c0b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (019c1302) TDO (019c0f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (019c2302) TDO (019c1303) ;
RUNTEST 1 TCK;
SDR 27 TDI (019c2702) TDO (019c2303) ;
RUNTEST 1 TCK;
SDR 27 TDI (019c2b02) TDO (019c2703) ;
RUNTEST 1 TCK;
SDR 27 TDI (019c2f02) TDO (019c2b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (019c3002) TDO (019c2f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (019c4002) TDO (019c3003) ;
RUNTEST 1 TCK;
SDR 27 TDI (019c4402) TDO (019c4003) ;
RUNTEST 1 TCK;
SDR 27 TDI (019c4802) TDO (019c4403) ;
RUNTEST 1 TCK;
SDR 27 TDI (019c4c02) TDO (019c4803) ;
RUNTEST 1 TCK;
SDR 27 TDI (019c5002) TDO (019c4c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (019c8302) TDO (019c5003) ;
RUNTEST 1 TCK;
SDR 27 TDI (019c8702) TDO (019c8303) ;
RUNTEST 1 TCK;
SDR 27 TDI (019c8b02) TDO (019c8703) ;
RUNTEST 1 TCK;
SDR 27 TDI (019c8f02) TDO (019c8b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (019c9302) TDO (019c8f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (019ca302) TDO (019c9303) ;
RUNTEST 1 TCK;
SDR 27 TDI (019ca702) TDO (019ca303) ;
RUNTEST 1 TCK;
SDR 27 TDI (019cab02) TDO (019ca703) ;
RUNTEST 1 TCK;
SDR 27 TDI (019caf02) TDO (019cab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (019cb002) TDO (019caf03) ;
RUNTEST 1 TCK;
SDR 27 TDI (019cc002) TDO (019cb003) ;
RUNTEST 1 TCK;
SDR 27 TDI (019cc402) TDO (019cc003) ;
RUNTEST 1 TCK;
SDR 27 TDI (019cc802) TDO (019cc403) ;
RUNTEST 1 TCK;
SDR 27 TDI (019ccc02) TDO (019cc803) ;
RUNTEST 1 TCK;
SDR 27 TDI (019cd002) TDO (019ccc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (019d0302) TDO (019cd003) ;
RUNTEST 1 TCK;
SDR 27 TDI (019d0702) TDO (019d0303) ;
RUNTEST 1 TCK;
SDR 27 TDI (019d0b02) TDO (019d0703) ;
RUNTEST 1 TCK;
SDR 27 TDI (019d0f02) TDO (019d0b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (019d1302) TDO (019d0f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (019d2302) TDO (019d1303) ;
RUNTEST 1 TCK;
SDR 27 TDI (019d2702) TDO (019d2303) ;
RUNTEST 1 TCK;
SDR 27 TDI (019d2b02) TDO (019d2703) ;
RUNTEST 1 TCK;
SDR 27 TDI (019d2f02) TDO (019d2b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (019d3002) TDO (019d2f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (019d4002) TDO (019d3003) ;
RUNTEST 1 TCK;
SDR 27 TDI (019d4402) TDO (019d4003) ;
RUNTEST 1 TCK;
SDR 27 TDI (019d4802) TDO (019d4403) ;
RUNTEST 1 TCK;
SDR 27 TDI (019d4c02) TDO (019d4803) ;
RUNTEST 1 TCK;
SDR 27 TDI (019d5002) TDO (019d4c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (019d8302) TDO (019d5003) ;
RUNTEST 1 TCK;
SDR 27 TDI (019d8702) TDO (019d8303) ;
RUNTEST 1 TCK;
SDR 27 TDI (019d8b02) TDO (019d8703) ;
RUNTEST 1 TCK;
SDR 27 TDI (019d8f02) TDO (019d8b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (019d9302) TDO (019d8f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (019da302) TDO (019d9303) ;
RUNTEST 1 TCK;
SDR 27 TDI (019da702) TDO (019da303) ;
RUNTEST 1 TCK;
SDR 27 TDI (019dab02) TDO (019da703) ;
RUNTEST 1 TCK;
SDR 27 TDI (019daf02) TDO (019dab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (019db002) TDO (019daf03) ;
RUNTEST 1 TCK;
SDR 27 TDI (019dc002) TDO (019db003) ;
RUNTEST 1 TCK;
SDR 27 TDI (019dc402) TDO (019dc003) ;
RUNTEST 1 TCK;
SDR 27 TDI (019dc802) TDO (019dc403) ;
RUNTEST 1 TCK;
SDR 27 TDI (019dcc02) TDO (019dc803) ;
RUNTEST 1 TCK;
SDR 27 TDI (019dd002) TDO (019dcc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (019e0302) TDO (019dd003) ;
RUNTEST 1 TCK;
SDR 27 TDI (019e0702) TDO (019e0303) ;
RUNTEST 1 TCK;
SDR 27 TDI (019e0b02) TDO (019e0703) ;
RUNTEST 1 TCK;
SDR 27 TDI (019e0f02) TDO (019e0b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (019e1302) TDO (019e0f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (019e2302) TDO (019e1303) ;
RUNTEST 1 TCK;
SDR 27 TDI (019e2702) TDO (019e2303) ;
RUNTEST 1 TCK;
SDR 27 TDI (019e2b02) TDO (019e2703) ;
RUNTEST 1 TCK;
SDR 27 TDI (019e2f02) TDO (019e2b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (019e3002) TDO (019e2f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (019e4002) TDO (019e3003) ;
RUNTEST 1 TCK;
SDR 27 TDI (019e4402) TDO (019e4003) ;
RUNTEST 1 TCK;
SDR 27 TDI (019e4802) TDO (019e4403) ;
RUNTEST 1 TCK;
SDR 27 TDI (019e4c02) TDO (019e4803) ;
RUNTEST 1 TCK;
SDR 27 TDI (019e5002) TDO (019e4c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (019e8302) TDO (019e5003) ;
RUNTEST 1 TCK;
SDR 27 TDI (019e8602) TDO (019e8303) ;
RUNTEST 1 TCK;
SDR 27 TDI (019e8a02) TDO (019e8603) ;
RUNTEST 1 TCK;
SDR 27 TDI (019e8f02) TDO (019e8a03) ;
RUNTEST 1 TCK;
SDR 27 TDI (019e9302) TDO (019e8f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (019ea302) TDO (019e9303) ;
RUNTEST 1 TCK;
SDR 27 TDI (019ea702) TDO (019ea303) ;
RUNTEST 1 TCK;
SDR 27 TDI (019eab02) TDO (019ea703) ;
RUNTEST 1 TCK;
SDR 27 TDI (019eaf02) TDO (019eab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (019eb002) TDO (019eaf03) ;
RUNTEST 1 TCK;
SDR 27 TDI (019ec002) TDO (019eb003) ;
RUNTEST 1 TCK;
SDR 27 TDI (019ec402) TDO (019ec003) ;
RUNTEST 1 TCK;
SDR 27 TDI (019ec802) TDO (019ec403) ;
RUNTEST 1 TCK;
SDR 27 TDI (019ecc02) TDO (019ec803) ;
RUNTEST 1 TCK;
SDR 27 TDI (019ed002) TDO (019ecc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (019f0302) TDO (019ed003) ;
RUNTEST 1 TCK;
SDR 27 TDI (019f0702) TDO (019f0303) ;
RUNTEST 1 TCK;
SDR 27 TDI (019f0b02) TDO (019f0703) ;
RUNTEST 1 TCK;
SDR 27 TDI (019f0f02) TDO (019f0b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (019f1302) TDO (019f0f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (019f2302) TDO (019f1303) ;
RUNTEST 1 TCK;
SDR 27 TDI (019f2702) TDO (019f2303) ;
RUNTEST 1 TCK;
SDR 27 TDI (019f2b02) TDO (019f2703) ;
RUNTEST 1 TCK;
SDR 27 TDI (019f2f02) TDO (019f2b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (019f3002) TDO (019f2f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (019f4002) TDO (019f3003) ;
RUNTEST 1 TCK;
SDR 27 TDI (019f4402) TDO (019f4003) ;
RUNTEST 1 TCK;
SDR 27 TDI (019f4802) TDO (019f4403) ;
RUNTEST 1 TCK;
SDR 27 TDI (019f4c02) TDO (019f4803) ;
RUNTEST 1 TCK;
SDR 27 TDI (019f5002) TDO (019f4c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (019f8302) TDO (019f5003) ;
RUNTEST 1 TCK;
SDR 27 TDI (019f8702) TDO (019f8303) ;
RUNTEST 1 TCK;
SDR 27 TDI (019f8b02) TDO (019f8703) ;
RUNTEST 1 TCK;
SDR 27 TDI (019f8f02) TDO (019f8b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (019f9302) TDO (019f8f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (019fa302) TDO (019f9303) ;
RUNTEST 1 TCK;
SDR 27 TDI (019fa702) TDO (019fa303) ;
RUNTEST 1 TCK;
SDR 27 TDI (019fab02) TDO (019fa703) ;
RUNTEST 1 TCK;
SDR 27 TDI (019faf02) TDO (019fab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (019fb002) TDO (019faf03) ;
RUNTEST 1 TCK;
SDR 27 TDI (019fc002) TDO (019fb003) ;
RUNTEST 1 TCK;
SDR 27 TDI (019fc402) TDO (019fc003) ;
RUNTEST 1 TCK;
SDR 27 TDI (019fc802) TDO (019fc403) ;
RUNTEST 1 TCK;
SDR 27 TDI (019fcc02) TDO (019fc803) ;
RUNTEST 1 TCK;
SDR 27 TDI (019fd002) TDO (019fcc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a00302) TDO (019fd003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a00702) TDO (01a00303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a00b02) TDO (01a00703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a00f02) TDO (01a00b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a01302) TDO (01a00f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a02302) TDO (01a01303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a02702) TDO (01a02303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a02b06) TDO (01a02703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a02f02) TDO (01a02b07) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a03002) TDO (01a02f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a04002) TDO (01a03003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a04402) TDO (01a04003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a04802) TDO (01a04403) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a04c02) TDO (01a04803) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a05002) TDO (01a04c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a08302) TDO (01a05003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a08702) TDO (01a08303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a08b02) TDO (01a08703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a08d02) TDO (01a08b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a09302) TDO (01a08d03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a0a102) TDO (01a09303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a0a702) TDO (01a0a103) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a0ab02) TDO (01a0a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a0af02) TDO (01a0ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a0b002) TDO (01a0af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a0c002) TDO (01a0b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a0c402) TDO (01a0c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a0c802) TDO (01a0c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a0cc02) TDO (01a0c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a0d002) TDO (01a0cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a10302) TDO (01a0d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a10702) TDO (01a10303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a10b02) TDO (01a10703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a10f02) TDO (01a10b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a11302) TDO (01a10f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a12302) TDO (01a11303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a12702) TDO (01a12303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a12b02) TDO (01a12703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a12f02) TDO (01a12b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a13002) TDO (01a12f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a14002) TDO (01a13003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a14402) TDO (01a14003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a14802) TDO (01a14403) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a14c02) TDO (01a14803) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a15002) TDO (01a14c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a18202) TDO (01a15003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a18702) TDO (01a18203) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a18b02) TDO (01a18703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a18f02) TDO (01a18b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a19302) TDO (01a18f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a1a302) TDO (01a19303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a1a702) TDO (01a1a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a1ab02) TDO (01a1a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a1af02) TDO (01a1ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a1b002) TDO (01a1af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a1c002) TDO (01a1b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a1c402) TDO (01a1c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a1c802) TDO (01a1c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a1cc02) TDO (01a1c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a1d002) TDO (01a1cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a20302) TDO (01a1d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a20702) TDO (01a20303) MASK (07fffeff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a20b02) TDO (01a20703) MASK (07ffffff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a20f02) TDO (01a20b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a21302) TDO (01a20f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a22302) TDO (01a21303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a22602) TDO (01a22303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a22b02) TDO (01a22603) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a22f02) TDO (01a22b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a23002) TDO (01a22f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a24002) TDO (01a23003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a24402) TDO (01a24003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a24802) TDO (01a24403) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a24c02) TDO (01a24803) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a25002) TDO (01a24c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a28302) TDO (01a25003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a28702) TDO (01a28303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a28b02) TDO (01a28703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a28f02) TDO (01a28b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a29302) TDO (01a28f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a2a302) TDO (01a29303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a2a702) TDO (01a2a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a2ab02) TDO (01a2a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a2af02) TDO (01a2ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a2b002) TDO (01a2af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a2c002) TDO (01a2b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a2c402) TDO (01a2c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a2c802) TDO (01a2c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a2cc02) TDO (01a2c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a2d002) TDO (01a2cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a30302) TDO (01a2d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a30702) TDO (01a30303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a30b02) TDO (01a30703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a30f02) TDO (01a30b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a31302) TDO (01a30f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a32302) TDO (01a31303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a32702) TDO (01a32303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a32b02) TDO (01a32703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a32f02) TDO (01a32b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a33002) TDO (01a32f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a34002) TDO (01a33003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a34402) TDO (01a34003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a34802) TDO (01a34403) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a34c02) TDO (01a34803) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a35002) TDO (01a34c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a38302) TDO (01a35003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a38702) TDO (01a38303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a38b02) TDO (01a38703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a38f02) TDO (01a38b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a39302) TDO (01a38f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a3a302) TDO (01a39303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a3a702) TDO (01a3a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a3ab02) TDO (01a3a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a3af02) TDO (01a3ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a3b002) TDO (01a3af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a3c002) TDO (01a3b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a3c402) TDO (01a3c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a3c802) TDO (01a3c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a3cc02) TDO (01a3c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (01a3d002) TDO (01a3cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c003fa) TDO (01a3d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c005fa) TDO (01c003fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c009fa) TDO (01c005fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c00dfe) TDO (01c009fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c011fe) TDO (01c00dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c023fa) TDO (01c011ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c025fa) TDO (01c023fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c029fa) TDO (01c025fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c02dfe) TDO (01c029fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c031fe) TDO (01c02dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c043fa) TDO (01c031ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c045fa) TDO (01c043fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c049fa) TDO (01c045fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c04dfe) TDO (01c049fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c051fe) TDO (01c04dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c063fa) TDO (01c051ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c065fa) TDO (01c063fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c069fa) TDO (01c065fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c06dfe) TDO (01c069fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c071fe) TDO (01c06dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c083fa) TDO (01c071ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c085fa) TDO (01c083fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c089fa) TDO (01c085fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c08dfe) TDO (01c089fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c091fe) TDO (01c08dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c0a3fa) TDO (01c091ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c0a5fa) TDO (01c0a3fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c0a9fa) TDO (01c0a5fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c0adfe) TDO (01c0a9fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c0b1fe) TDO (01c0adff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c0c3fa) TDO (01c0b1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c0c5fa) TDO (01c0c3fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c0c9fa) TDO (01c0c5fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c0cdfe) TDO (01c0c9fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c0d1fe) TDO (01c0cdff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c0e3fa) TDO (01c0d1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c0e5fa) TDO (01c0e3fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c0e9fa) TDO (01c0e5fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c0edfe) TDO (01c0e9fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c0f1fe) TDO (01c0edff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c103fa) TDO (01c0f1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c105fa) TDO (01c103fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c109fa) TDO (01c105fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c10dfe) TDO (01c109fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c111fe) TDO (01c10dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c123fa) TDO (01c111ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c125fa) TDO (01c123fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c129fa) TDO (01c125fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c12dfe) TDO (01c129fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c131fe) TDO (01c12dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c143fa) TDO (01c131ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c145fa) TDO (01c143fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c149fa) TDO (01c145fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c14dfe) TDO (01c149fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c151fe) TDO (01c14dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c163fa) TDO (01c151ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c165fa) TDO (01c163fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c169fa) TDO (01c165fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c16dfe) TDO (01c169fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c171fe) TDO (01c16dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c183fa) TDO (01c171ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c185fa) TDO (01c183fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c189fa) TDO (01c185fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c18dfe) TDO (01c189fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c191fe) TDO (01c18dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c1a3fa) TDO (01c191ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c1a5fa) TDO (01c1a3fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c1a9fa) TDO (01c1a5fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c1adfe) TDO (01c1a9fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c1b1fe) TDO (01c1adff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c1c3fa) TDO (01c1b1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c1c5fa) TDO (01c1c3fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c1c9fa) TDO (01c1c5fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c1cdfe) TDO (01c1c9fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c1d1fe) TDO (01c1cdff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c1e3fa) TDO (01c1d1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c1e5fa) TDO (01c1e3fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c1e9fa) TDO (01c1e5fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c1edfe) TDO (01c1e9fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c1f1fe) TDO (01c1edff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c203fa) TDO (01c1f1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c205fa) TDO (01c203fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c209fa) TDO (01c205fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c20dfe) TDO (01c209fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c211fe) TDO (01c20dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c223fa) TDO (01c211ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c225fa) TDO (01c223fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c229fa) TDO (01c225fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c22dfe) TDO (01c229fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c231fe) TDO (01c22dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c403fa) TDO (01c231ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c405fa) TDO (01c403fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c409fa) TDO (01c405fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c40dfe) TDO (01c409fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c411fe) TDO (01c40dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c423fa) TDO (01c411ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c425fa) TDO (01c423fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c429fa) TDO (01c425fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c42dfe) TDO (01c429fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c431fe) TDO (01c42dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c443fa) TDO (01c431ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c445fa) TDO (01c443fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c449fa) TDO (01c445fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c44dfe) TDO (01c449fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c451fe) TDO (01c44dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c463fa) TDO (01c451ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c465fa) TDO (01c463fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c469fa) TDO (01c465fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c46dfe) TDO (01c469fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c471fe) TDO (01c46dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c483fa) TDO (01c471ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c485fa) TDO (01c483fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c489fa) TDO (01c485fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c48dfe) TDO (01c489fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c491fe) TDO (01c48dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c4a3fa) TDO (01c491ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c4a5fa) TDO (01c4a3fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c4a9fa) TDO (01c4a5fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c4adfe) TDO (01c4a9fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c4b1fe) TDO (01c4adff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c4c3fa) TDO (01c4b1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c4c5fa) TDO (01c4c3fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c4c9fa) TDO (01c4c5fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c4cdfe) TDO (01c4c9fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c4d1fe) TDO (01c4cdff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c4e3fa) TDO (01c4d1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c4e5fa) TDO (01c4e3fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c4e9fa) TDO (01c4e5fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c4edfe) TDO (01c4e9fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c4f1fe) TDO (01c4edff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c503fa) TDO (01c4f1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c505fa) TDO (01c503fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c509fa) TDO (01c505fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c50dfe) TDO (01c509fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c511fe) TDO (01c50dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c523fa) TDO (01c511ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c525fa) TDO (01c523fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c529fa) TDO (01c525fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c52dfe) TDO (01c529fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c531fe) TDO (01c52dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c543fa) TDO (01c531ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c545fa) TDO (01c543fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c549fa) TDO (01c545fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c54dfe) TDO (01c549fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c551fe) TDO (01c54dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c563fa) TDO (01c551ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c565fa) TDO (01c563fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c569fa) TDO (01c565fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c56dfe) TDO (01c569fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c571fe) TDO (01c56dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c583fa) TDO (01c571ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c585fa) TDO (01c583fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c589fa) TDO (01c585fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c58dfe) TDO (01c589fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c591fe) TDO (01c58dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c5a3fa) TDO (01c591ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c5a5fa) TDO (01c5a3fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c5a9fa) TDO (01c5a5fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c5adfe) TDO (01c5a9fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c5b1fe) TDO (01c5adff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c5c3fa) TDO (01c5b1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c5c5fa) TDO (01c5c3fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c5c9fa) TDO (01c5c5fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c5cdfe) TDO (01c5c9fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c5d1fe) TDO (01c5cdff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c5e3fa) TDO (01c5d1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c5e5fa) TDO (01c5e3fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c5e9fa) TDO (01c5e5fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c5edfe) TDO (01c5e9fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c5f1fe) TDO (01c5edff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c603fa) TDO (01c5f1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c605fa) TDO (01c603fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c609fa) TDO (01c605fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c60dfe) TDO (01c609fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c611fe) TDO (01c60dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c623fa) TDO (01c611ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c625fa) TDO (01c623fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c629fa) TDO (01c625fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c62dfe) TDO (01c629fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c631fe) TDO (01c62dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c803fa) TDO (01c631ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c805fa) TDO (01c803fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c809fa) TDO (01c805fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c80dfe) TDO (01c809fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c811fe) TDO (01c80dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c823fa) TDO (01c811ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c825fa) TDO (01c823fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c829fa) TDO (01c825fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c82dfe) TDO (01c829fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c831fe) TDO (01c82dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c843fa) TDO (01c831ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c845fa) TDO (01c843fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c849fa) TDO (01c845fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c84dfe) TDO (01c849fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c851fe) TDO (01c84dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c863fa) TDO (01c851ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c865fa) TDO (01c863fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c869fa) TDO (01c865fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c86dfe) TDO (01c869fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c871fe) TDO (01c86dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c883fa) TDO (01c871ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c885fa) TDO (01c883fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c889fa) TDO (01c885fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c88dfe) TDO (01c889fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c891fe) TDO (01c88dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c8a3fa) TDO (01c891ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c8a5fa) TDO (01c8a3fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c8a9fa) TDO (01c8a5fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c8adfe) TDO (01c8a9fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c8b1fe) TDO (01c8adff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c8c3fa) TDO (01c8b1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c8c5fa) TDO (01c8c3fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c8c9fa) TDO (01c8c5fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c8cdfe) TDO (01c8c9fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c8d1fe) TDO (01c8cdff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c8e3fa) TDO (01c8d1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c8e5fa) TDO (01c8e3fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c8e9fa) TDO (01c8e5fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c8edfe) TDO (01c8e9fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c8f1fe) TDO (01c8edff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c903fa) TDO (01c8f1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c905fa) TDO (01c903fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c909fa) TDO (01c905fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c90dfe) TDO (01c909fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c911fe) TDO (01c90dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c923fa) TDO (01c911ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c925fa) TDO (01c923fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c929fa) TDO (01c925fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c92dfe) TDO (01c929fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c931fe) TDO (01c92dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c943fa) TDO (01c931ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c945fa) TDO (01c943fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c949fa) TDO (01c945fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c94dfe) TDO (01c949fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c951fe) TDO (01c94dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c963fa) TDO (01c951ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c965fa) TDO (01c963fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c969fa) TDO (01c965fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c96dfe) TDO (01c969fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c971fe) TDO (01c96dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c983fa) TDO (01c971ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c985fa) TDO (01c983fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c989fa) TDO (01c985fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c98dfe) TDO (01c989fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c991fe) TDO (01c98dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c9a3fa) TDO (01c991ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c9a5fa) TDO (01c9a3fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c9a9fa) TDO (01c9a5fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c9adfe) TDO (01c9a9fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c9b1fe) TDO (01c9adff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c9c3fa) TDO (01c9b1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c9c5fa) TDO (01c9c3fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c9c9fa) TDO (01c9c5fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c9cdfe) TDO (01c9c9fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c9d1fe) TDO (01c9cdff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c9e3fa) TDO (01c9d1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c9e5fa) TDO (01c9e3fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c9e9fa) TDO (01c9e5fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c9edfe) TDO (01c9e9fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01c9f1fe) TDO (01c9edff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01ca03fa) TDO (01c9f1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01ca05fa) TDO (01ca03fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01ca09fa) TDO (01ca05fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01ca0dfe) TDO (01ca09fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01ca11fe) TDO (01ca0dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01ca23fa) TDO (01ca11ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01ca25fa) TDO (01ca23fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01ca29fa) TDO (01ca25fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01ca2dfe) TDO (01ca29fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01ca31fe) TDO (01ca2dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01cc03fa) TDO (01ca31ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01cc05fa) TDO (01cc03fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01cc09fa) TDO (01cc05fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01cc0dfe) TDO (01cc09fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01cc11fe) TDO (01cc0dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01cc23fa) TDO (01cc11ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01cc25fa) TDO (01cc23fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01cc29fa) TDO (01cc25fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01cc2dfe) TDO (01cc29fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01cc31fe) TDO (01cc2dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01cc43fa) TDO (01cc31ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01cc45fa) TDO (01cc43fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01cc49fa) TDO (01cc45fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01cc4dfe) TDO (01cc49fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01cc51fe) TDO (01cc4dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01cc63fa) TDO (01cc51ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01cc65fa) TDO (01cc63fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01cc69fa) TDO (01cc65fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01cc6dfe) TDO (01cc69fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01cc71fe) TDO (01cc6dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01cc83fa) TDO (01cc71ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01cc85fa) TDO (01cc83fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01cc89fa) TDO (01cc85fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01cc8dfe) TDO (01cc89fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01cc91fe) TDO (01cc8dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01cca3fa) TDO (01cc91ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01cca5fa) TDO (01cca3fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01cca9fa) TDO (01cca5fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01ccadfe) TDO (01cca9fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01ccb1fe) TDO (01ccadff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01ccc3fa) TDO (01ccb1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01ccc5fa) TDO (01ccc3fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01ccc9fa) TDO (01ccc5fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01cccdfe) TDO (01ccc9fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01ccd1fe) TDO (01cccdff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01cce3fa) TDO (01ccd1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01cce5fa) TDO (01cce3fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01cce9fa) TDO (01cce5fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01ccedfe) TDO (01cce9fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01ccf1fe) TDO (01ccedff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01cd03fa) TDO (01ccf1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01cd05fa) TDO (01cd03fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01cd09fa) TDO (01cd05fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01cd0dfe) TDO (01cd09fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01cd11fe) TDO (01cd0dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01cd23fa) TDO (01cd11ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01cd25fa) TDO (01cd23fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01cd29fa) TDO (01cd25fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01cd2dfe) TDO (01cd29fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01cd31fe) TDO (01cd2dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01cd43fa) TDO (01cd31ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01cd45fa) TDO (01cd43fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01cd49fa) TDO (01cd45fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01cd4dfe) TDO (01cd49fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01cd51fe) TDO (01cd4dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01cd63fa) TDO (01cd51ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01cd65fa) TDO (01cd63fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01cd69fa) TDO (01cd65fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01cd6dfe) TDO (01cd69fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01cd71fe) TDO (01cd6dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01cd83fa) TDO (01cd71ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01cd85fa) TDO (01cd83fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01cd89fa) TDO (01cd85fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01cd8dfe) TDO (01cd89fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01cd91fe) TDO (01cd8dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01cda3fa) TDO (01cd91ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01cda5fa) TDO (01cda3fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01cda9fa) TDO (01cda5fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01cdadfe) TDO (01cda9fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01cdb1fe) TDO (01cdadff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01cdc3fa) TDO (01cdb1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01cdc5fa) TDO (01cdc3fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01cdc9fa) TDO (01cdc5fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01cdcdfe) TDO (01cdc9fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01cdd1fe) TDO (01cdcdff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01cde3fa) TDO (01cdd1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01cde5fa) TDO (01cde3fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01cde9fa) TDO (01cde5fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01cdedfe) TDO (01cde9fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01cdf1fe) TDO (01cdedff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01ce03fa) TDO (01cdf1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01ce05fa) TDO (01ce03fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01ce09fa) TDO (01ce05fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01ce0dfe) TDO (01ce09fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01ce11fe) TDO (01ce0dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01ce23fa) TDO (01ce11ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01ce25fa) TDO (01ce23fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01ce29fa) TDO (01ce25fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01ce2dfe) TDO (01ce29fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01ce31fe) TDO (01ce2dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d003fa) TDO (01ce31ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d005fa) TDO (01d003fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d009fe) TDO (01d005fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d00dfe) TDO (01d009ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d011fe) TDO (01d00dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d023fa) TDO (01d011ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d025fa) TDO (01d023fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d029fa) TDO (01d025fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d02dfe) TDO (01d029fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d031fe) TDO (01d02dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d043fa) TDO (01d031ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d045fa) TDO (01d043fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d049fa) TDO (01d045fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d04dfe) TDO (01d049fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d051fe) TDO (01d04dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d063fa) TDO (01d051ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d065fa) TDO (01d063fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d069fa) TDO (01d065fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d06dfe) TDO (01d069fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d071fe) TDO (01d06dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d083fa) TDO (01d071ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d085fa) TDO (01d083fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d089fa) TDO (01d085fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d08dfe) TDO (01d089fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d091fe) TDO (01d08dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d0a3fa) TDO (01d091ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d0a5fa) TDO (01d0a3fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d0a9fa) TDO (01d0a5fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d0adfe) TDO (01d0a9fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d0b1fe) TDO (01d0adff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d0c3fe) TDO (01d0b1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d0c5fa) TDO (01d0c3ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d0c9fa) TDO (01d0c5fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d0cdfe) TDO (01d0c9fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d0d1fe) TDO (01d0cdff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d0e3fa) TDO (01d0d1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d0e5fa) TDO (01d0e3fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d0e9fa) TDO (01d0e5fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d0edfe) TDO (01d0e9fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d0f1fe) TDO (01d0edff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d103fa) TDO (01d0f1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d105fa) TDO (01d103fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d109fa) TDO (01d105fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d10dfe) TDO (01d109fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d111fe) TDO (01d10dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d123fa) TDO (01d111ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d125fe) TDO (01d123fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d129fa) TDO (01d125ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d12dfe) TDO (01d129fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d131fe) TDO (01d12dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d143fa) TDO (01d131ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d145fa) TDO (01d143fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d149fa) TDO (01d145fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d14dfe) TDO (01d149fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d151fe) TDO (01d14dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d163fa) TDO (01d151ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d165fa) TDO (01d163fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d169fa) TDO (01d165fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d16dfe) TDO (01d169fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d171fe) TDO (01d16dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d183fa) TDO (01d171ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d185fa) TDO (01d183fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d189fa) TDO (01d185fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d18dfe) TDO (01d189fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d191fe) TDO (01d18dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d1a3fa) TDO (01d191ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d1a5fa) TDO (01d1a3fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d1a9fa) TDO (01d1a5fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d1adfe) TDO (01d1a9fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d1b1fe) TDO (01d1adff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d1c3fa) TDO (01d1b1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d1c5fa) TDO (01d1c3fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d1c9fa) TDO (01d1c5fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d1cdfe) TDO (01d1c9fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d1d1fe) TDO (01d1cdff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d1e3fa) TDO (01d1d1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d1e5fa) TDO (01d1e3fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d1e9fa) TDO (01d1e5fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d1edfe) TDO (01d1e9fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d1f1fe) TDO (01d1edff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d203fa) TDO (01d1f1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d205fa) TDO (01d203fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d209fa) TDO (01d205fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d20dfe) TDO (01d209fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d211fe) TDO (01d20dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d223fa) TDO (01d211ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d225fa) TDO (01d223fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d229fa) TDO (01d225fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d22dfe) TDO (01d229fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d231fe) TDO (01d22dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d403fa) TDO (01d231ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d405fa) TDO (01d403fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d409fa) TDO (01d405fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d40dfe) TDO (01d409fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d411fe) TDO (01d40dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d423fa) TDO (01d411ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d425fa) TDO (01d423fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d429fa) TDO (01d425fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d42dfe) TDO (01d429fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d431fe) TDO (01d42dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d443fa) TDO (01d431ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d445fa) TDO (01d443fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d449fa) TDO (01d445fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d44dfe) TDO (01d449fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d451fe) TDO (01d44dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d463fa) TDO (01d451ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d465fa) TDO (01d463fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d469fa) TDO (01d465fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d46dfe) TDO (01d469fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d471fe) TDO (01d46dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d483fa) TDO (01d471ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d485fa) TDO (01d483fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d489fa) TDO (01d485fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d48dfe) TDO (01d489fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d491fe) TDO (01d48dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d4a3fa) TDO (01d491ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d4a5fa) TDO (01d4a3fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d4a9fa) TDO (01d4a5fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d4adfe) TDO (01d4a9fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d4b1fe) TDO (01d4adff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d4c3fa) TDO (01d4b1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d4c5fa) TDO (01d4c3fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d4c9fa) TDO (01d4c5fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d4cdfe) TDO (01d4c9fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d4d1fe) TDO (01d4cdff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d4e3fa) TDO (01d4d1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d4e5fa) TDO (01d4e3fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d4e9fa) TDO (01d4e5fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d4edfe) TDO (01d4e9fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d4f1fe) TDO (01d4edff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d503fa) TDO (01d4f1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d505fa) TDO (01d503fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d509fa) TDO (01d505fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d50dfe) TDO (01d509fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d511fe) TDO (01d50dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d523fa) TDO (01d511ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d525fa) TDO (01d523fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d529fa) TDO (01d525fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d52dfe) TDO (01d529fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d531fe) TDO (01d52dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d543fa) TDO (01d531ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d545fa) TDO (01d543fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d549fa) TDO (01d545fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d54dfe) TDO (01d549fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d551fe) TDO (01d54dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d563fa) TDO (01d551ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d565fa) TDO (01d563fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d569fa) TDO (01d565fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d56dfe) TDO (01d569fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d571fe) TDO (01d56dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d583fa) TDO (01d571ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d585fa) TDO (01d583fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d589fa) TDO (01d585fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d58dfe) TDO (01d589fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d591fe) TDO (01d58dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d5a3fa) TDO (01d591ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d5a5fa) TDO (01d5a3fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d5a9fa) TDO (01d5a5fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d5adfe) TDO (01d5a9fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d5b1fe) TDO (01d5adff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d5c3fa) TDO (01d5b1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d5c5fa) TDO (01d5c3fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d5c9fa) TDO (01d5c5fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d5cdfe) TDO (01d5c9fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d5d1fe) TDO (01d5cdff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d5e3fa) TDO (01d5d1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d5e5fa) TDO (01d5e3fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d5e9fa) TDO (01d5e5fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d5edfe) TDO (01d5e9fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d5f1fe) TDO (01d5edff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d603fa) TDO (01d5f1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d605fa) TDO (01d603fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d609fa) TDO (01d605fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d60dfe) TDO (01d609fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d611fe) TDO (01d60dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d623fa) TDO (01d611ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d625fa) TDO (01d623fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d629fa) TDO (01d625fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d62dfe) TDO (01d629fb) ;
RUNTEST 1 TCK;
SDR 27 TDI (01d631fe) TDO (01d62dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02000302) TDO (01d631ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02000702) TDO (02000303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02000b02) TDO (02000703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02000f02) TDO (02000b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02001302) TDO (02000f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02002302) TDO (02001303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02002702) TDO (02002303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02002b02) TDO (02002703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02002f02) TDO (02002b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02003002) TDO (02002f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02004002) TDO (02003003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02004402) TDO (02004003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02004802) TDO (02004403) ;
RUNTEST 1 TCK;
SDR 27 TDI (02004c02) TDO (02004803) ;
RUNTEST 1 TCK;
SDR 27 TDI (02005002) TDO (02004c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0200830a) TDO (02005003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02008706) TDO (0200830b) ;
RUNTEST 1 TCK;
SDR 27 TDI (02008b02) TDO (02008707) ;
RUNTEST 1 TCK;
SDR 27 TDI (02008f32) TDO (02008b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02009302) TDO (02008f33) ;
RUNTEST 1 TCK;
SDR 27 TDI (0200a302) TDO (02009303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0200a702) TDO (0200a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0200ab02) TDO (0200a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0200af02) TDO (0200ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0200b002) TDO (0200af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0200c042) TDO (0200b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0200c402) TDO (0200c043) ;
RUNTEST 1 TCK;
SDR 27 TDI (0200c802) TDO (0200c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0200cc22) TDO (0200c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0200d01a) TDO (0200cc23) ;
RUNTEST 1 TCK;
SDR 27 TDI (02010302) TDO (0200d01b) ;
RUNTEST 1 TCK;
SDR 27 TDI (02010702) TDO (02010303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02010b02) TDO (02010703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02010f02) TDO (02010b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02011302) TDO (02010f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02012302) TDO (02011303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02012702) TDO (02012303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02012b02) TDO (02012703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02012f02) TDO (02012b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02013002) TDO (02012f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02014002) TDO (02013003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02014402) TDO (02014003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02014802) TDO (02014403) ;
RUNTEST 1 TCK;
SDR 27 TDI (02014c02) TDO (02014803) ;
RUNTEST 1 TCK;
SDR 27 TDI (02015002) TDO (02014c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0201830a) TDO (02015003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02018702) TDO (0201830b) ;
RUNTEST 1 TCK;
SDR 27 TDI (02018b02) TDO (02018703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02018f32) TDO (02018b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02019302) TDO (02018f33) ;
RUNTEST 1 TCK;
SDR 27 TDI (0201a302) TDO (02019303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0201a702) TDO (0201a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0201ab06) TDO (0201a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0201af06) TDO (0201ab07) ;
RUNTEST 1 TCK;
SDR 27 TDI (0201b006) TDO (0201af07) ;
RUNTEST 1 TCK;
SDR 27 TDI (0201c042) TDO (0201b007) ;
RUNTEST 1 TCK;
SDR 27 TDI (0201c402) TDO (0201c043) ;
RUNTEST 1 TCK;
SDR 27 TDI (0201c802) TDO (0201c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0201cc22) TDO (0201c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0201d01a) TDO (0201cc23) ;
RUNTEST 1 TCK;
SDR 27 TDI (02020302) TDO (0201d01b) ;
RUNTEST 1 TCK;
SDR 27 TDI (02020702) TDO (02020303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02020b02) TDO (02020703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02020f02) TDO (02020b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02021302) TDO (02020f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02022302) TDO (02021303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02022702) TDO (02022303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02022b02) TDO (02022703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02022f02) TDO (02022b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02023002) TDO (02022f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02024002) TDO (02023003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02024402) TDO (02024003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02024802) TDO (02024403) ;
RUNTEST 1 TCK;
SDR 27 TDI (02024c02) TDO (02024803) ;
RUNTEST 1 TCK;
SDR 27 TDI (02025002) TDO (02024c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02028302) TDO (02025003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02028702) TDO (02028303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02028b02) TDO (02028703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02028f02) TDO (02028b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02029302) TDO (02028f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0202a342) TDO (02029303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0202a742) TDO (0202a343) ;
RUNTEST 1 TCK;
SDR 27 TDI (0202ab02) TDO (0202a743) ;
RUNTEST 1 TCK;
SDR 27 TDI (0202af02) TDO (0202ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0202b002) TDO (0202af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0202c002) TDO (0202b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0202c402) TDO (0202c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0202c802) TDO (0202c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0202cc02) TDO (0202c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0202d002) TDO (0202cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02030302) TDO (0202d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02030702) TDO (02030303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02030b02) TDO (02030703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02030f02) TDO (02030b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02031302) TDO (02030f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02032302) TDO (02031303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02032702) TDO (02032303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02032b02) TDO (02032703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02032f02) TDO (02032b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02033002) TDO (02032f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02034002) TDO (02033003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02034402) TDO (02034003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02034802) TDO (02034403) ;
RUNTEST 1 TCK;
SDR 27 TDI (02034c02) TDO (02034803) ;
RUNTEST 1 TCK;
SDR 27 TDI (02035002) TDO (02034c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0203830a) TDO (02035003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02038706) TDO (0203830b) ;
RUNTEST 1 TCK;
SDR 27 TDI (02038b02) TDO (02038707) ;
RUNTEST 1 TCK;
SDR 27 TDI (02038f32) TDO (02038b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02039302) TDO (02038f33) ;
RUNTEST 1 TCK;
SDR 27 TDI (0203a302) TDO (02039303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0203a702) TDO (0203a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0203ab02) TDO (0203a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0203af02) TDO (0203ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0203b002) TDO (0203af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0203c042) TDO (0203b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0203c402) TDO (0203c043) ;
RUNTEST 1 TCK;
SDR 27 TDI (0203c802) TDO (0203c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0203cc22) TDO (0203c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0203d01a) TDO (0203cc23) ;
RUNTEST 1 TCK;
SDR 27 TDI (02040302) TDO (0203d01b) ;
RUNTEST 1 TCK;
SDR 27 TDI (02040702) TDO (02040303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02040b02) TDO (02040703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02040f02) TDO (02040b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02041302) TDO (02040f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02042302) TDO (02041303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02042702) TDO (02042303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02042b02) TDO (02042703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02042f02) TDO (02042b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02043002) TDO (02042f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02044002) TDO (02043003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02044402) TDO (02044003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02044802) TDO (02044403) ;
RUNTEST 1 TCK;
SDR 27 TDI (02044c02) TDO (02044803) ;
RUNTEST 1 TCK;
SDR 27 TDI (02045002) TDO (02044c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02048302) TDO (02045003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02048702) TDO (02048303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02048b02) TDO (02048703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02048f32) TDO (02048b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02049302) TDO (02048f33) ;
RUNTEST 1 TCK;
SDR 27 TDI (0204a302) TDO (02049303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0204a702) TDO (0204a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0204ab0a) TDO (0204a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0204af0a) TDO (0204ab0b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0204b00a) TDO (0204af0b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0204c042) TDO (0204b00b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0204c402) TDO (0204c043) ;
RUNTEST 1 TCK;
SDR 27 TDI (0204c802) TDO (0204c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0204cc22) TDO (0204c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0204d012) TDO (0204cc23) ;
RUNTEST 1 TCK;
SDR 27 TDI (02050302) TDO (0204d013) ;
RUNTEST 1 TCK;
SDR 27 TDI (02050702) TDO (02050303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02050b02) TDO (02050703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02050f02) TDO (02050b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02051302) TDO (02050f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02052302) TDO (02051303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02052702) TDO (02052303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02052b02) TDO (02052703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02052f02) TDO (02052b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02053002) TDO (02052f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02054002) TDO (02053003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02054402) TDO (02054003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02054802) TDO (02054403) ;
RUNTEST 1 TCK;
SDR 27 TDI (02054c02) TDO (02054803) ;
RUNTEST 1 TCK;
SDR 27 TDI (02055002) TDO (02054c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02058302) TDO (02055003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02058702) TDO (02058303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02058b02) TDO (02058703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02058f32) TDO (02058b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02059302) TDO (02058f33) ;
RUNTEST 1 TCK;
SDR 27 TDI (0205a302) TDO (02059303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0205a702) TDO (0205a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0205ab02) TDO (0205a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0205af02) TDO (0205ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0205b002) TDO (0205af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0205c042) TDO (0205b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0205c402) TDO (0205c043) ;
RUNTEST 1 TCK;
SDR 27 TDI (0205c806) TDO (0205c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0205cc26) TDO (0205c807) ;
RUNTEST 1 TCK;
SDR 27 TDI (0205d01e) TDO (0205cc27) ;
RUNTEST 1 TCK;
SDR 27 TDI (02060002) TDO (0205d01f) ;
RUNTEST 1 TCK;
SDR 27 TDI (02060402) TDO (02060003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02060802) TDO (02060403) ;
RUNTEST 1 TCK;
SDR 27 TDI (02060f02) TDO (02060803) ;
RUNTEST 1 TCK;
SDR 27 TDI (02061002) TDO (02060f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02062202) TDO (02061003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02062402) TDO (02062203) ;
RUNTEST 1 TCK;
SDR 27 TDI (02062a02) TDO (02062403) ;
RUNTEST 1 TCK;
SDR 27 TDI (02062e02) TDO (02062a03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02063002) TDO (02062e03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02064002) TDO (02063003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02064402) TDO (02064003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02064802) TDO (02064403) ;
RUNTEST 1 TCK;
SDR 27 TDI (02064c02) TDO (02064803) ;
RUNTEST 1 TCK;
SDR 27 TDI (02065002) TDO (02064c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02068382) TDO (02065003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02068402) TDO (02068383) ;
RUNTEST 1 TCK;
SDR 27 TDI (02068802) TDO (02068403) ;
RUNTEST 1 TCK;
SDR 27 TDI (02068e02) TDO (02068803) ;
RUNTEST 1 TCK;
SDR 27 TDI (02069202) TDO (02068e03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0206a03e) TDO (02069203) ;
RUNTEST 1 TCK;
SDR 27 TDI (0206a502) TDO (0206a03f) ;
RUNTEST 1 TCK;
SDR 27 TDI (0206aa02) TDO (0206a503) ;
RUNTEST 1 TCK;
SDR 27 TDI (0206ae02) TDO (0206aa03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0206b002) TDO (0206ae03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0206c03e) TDO (0206b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0206c402) TDO (0206c03f) ;
RUNTEST 1 TCK;
SDR 27 TDI (0206c802) TDO (0206c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0206cc02) TDO (0206c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0206d002) TDO (0206cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02070302) TDO (0206d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02070402) TDO (02070303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02070802) TDO (02070403) ;
RUNTEST 1 TCK;
SDR 27 TDI (02070e02) TDO (02070803) ;
RUNTEST 1 TCK;
SDR 27 TDI (02071002) TDO (02070e03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02072202) TDO (02071003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02072502) TDO (02072203) ;
RUNTEST 1 TCK;
SDR 27 TDI (02072a02) TDO (02072503) ;
RUNTEST 1 TCK;
SDR 27 TDI (02072e02) TDO (02072a03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02073002) TDO (02072e03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02074002) TDO (02073003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02074402) TDO (02074003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02074802) TDO (02074403) ;
RUNTEST 1 TCK;
SDR 27 TDI (02074c02) TDO (02074803) ;
RUNTEST 1 TCK;
SDR 27 TDI (02075002) TDO (02074c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02078006) TDO (02075003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02078402) TDO (02078007) ;
RUNTEST 1 TCK;
SDR 27 TDI (02078a02) TDO (02078403) ;
RUNTEST 1 TCK;
SDR 27 TDI (02078e02) TDO (02078a03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02079202) TDO (02078e03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0207a242) TDO (02079203) ;
RUNTEST 1 TCK;
SDR 27 TDI (0207a442) TDO (0207a243) ;
RUNTEST 1 TCK;
SDR 27 TDI (0207aa02) TDO (0207a443) ;
RUNTEST 1 TCK;
SDR 27 TDI (0207ae02) TDO (0207aa03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0207b002) TDO (0207ae03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0207c002) TDO (0207b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0207c402) TDO (0207c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0207c802) TDO (0207c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0207cc02) TDO (0207c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0207d002) TDO (0207cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02080002) TDO (0207d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02080402) TDO (02080003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02080802) TDO (02080403) ;
RUNTEST 1 TCK;
SDR 27 TDI (02080f02) TDO (02080803) ;
RUNTEST 1 TCK;
SDR 27 TDI (02081002) TDO (02080f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02082202) TDO (02081003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02082402) TDO (02082203) ;
RUNTEST 1 TCK;
SDR 27 TDI (02082a02) TDO (02082403) ;
RUNTEST 1 TCK;
SDR 27 TDI (02082e02) TDO (02082a03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02083002) TDO (02082e03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02084002) TDO (02083003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02084402) TDO (02084003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02084802) TDO (02084403) ;
RUNTEST 1 TCK;
SDR 27 TDI (02084c02) TDO (02084803) ;
RUNTEST 1 TCK;
SDR 27 TDI (02085002) TDO (02084c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02088002) TDO (02085003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02088722) TDO (02088003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02088902) TDO (02088723) ;
RUNTEST 1 TCK;
SDR 27 TDI (02088f02) TDO (02088903) ;
RUNTEST 1 TCK;
SDR 27 TDI (02089102) TDO (02088f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0208a302) TDO (02089103) ;
RUNTEST 1 TCK;
SDR 27 TDI (0208a602) TDO (0208a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0208ab02) TDO (0208a603) ;
RUNTEST 1 TCK;
SDR 27 TDI (0208af02) TDO (0208ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0208b002) TDO (0208af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0208c042) TDO (0208b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0208c402) TDO (0208c043) ;
RUNTEST 1 TCK;
SDR 27 TDI (0208c812) TDO (0208c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0208cc32) TDO (0208c813) ;
RUNTEST 1 TCK;
SDR 27 TDI (0208d002) TDO (0208cc33) ;
RUNTEST 1 TCK;
SDR 27 TDI (02090302) TDO (0208d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02090402) TDO (02090303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02090a02) TDO (02090403) ;
RUNTEST 1 TCK;
SDR 27 TDI (02090f02) TDO (02090a03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02091202) TDO (02090f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02092202) TDO (02091203) ;
RUNTEST 1 TCK;
SDR 27 TDI (02092502) TDO (02092203) ;
RUNTEST 1 TCK;
SDR 27 TDI (02092a02) TDO (02092503) ;
RUNTEST 1 TCK;
SDR 27 TDI (02092e02) TDO (02092a03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02093002) TDO (02092e03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02094002) TDO (02093003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02094402) TDO (02094003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02094802) TDO (02094403) ;
RUNTEST 1 TCK;
SDR 27 TDI (02094c02) TDO (02094803) ;
RUNTEST 1 TCK;
SDR 27 TDI (02095002) TDO (02094c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02098102) TDO (02095003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02098712) TDO (02098103) ;
RUNTEST 1 TCK;
SDR 27 TDI (02098902) TDO (02098713) ;
RUNTEST 1 TCK;
SDR 27 TDI (02098f22) TDO (02098903) ;
RUNTEST 1 TCK;
SDR 27 TDI (02099302) TDO (02098f23) ;
RUNTEST 1 TCK;
SDR 27 TDI (0209a302) TDO (02099303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0209a602) TDO (0209a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0209ab02) TDO (0209a603) ;
RUNTEST 1 TCK;
SDR 27 TDI (0209af02) TDO (0209ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0209b002) TDO (0209af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0209c042) TDO (0209b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0209c402) TDO (0209c043) ;
RUNTEST 1 TCK;
SDR 27 TDI (0209c80a) TDO (0209c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0209cc2a) TDO (0209c80b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0209d012) TDO (0209cc2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (020a0302) TDO (0209d013) ;
RUNTEST 1 TCK;
SDR 27 TDI (020a0402) TDO (020a0303) ;
RUNTEST 1 TCK;
SDR 27 TDI (020a0a02) TDO (020a0403) ;
RUNTEST 1 TCK;
SDR 27 TDI (020a0f02) TDO (020a0a03) ;
RUNTEST 1 TCK;
SDR 27 TDI (020a1202) TDO (020a0f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (020a2302) TDO (020a1203) ;
RUNTEST 1 TCK;
SDR 27 TDI (020a2502) TDO (020a2303) ;
RUNTEST 1 TCK;
SDR 27 TDI (020a2a02) TDO (020a2503) ;
RUNTEST 1 TCK;
SDR 27 TDI (020a2f02) TDO (020a2a03) ;
RUNTEST 1 TCK;
SDR 27 TDI (020a3002) TDO (020a2f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (020a4002) TDO (020a3003) ;
RUNTEST 1 TCK;
SDR 27 TDI (020a4402) TDO (020a4003) ;
RUNTEST 1 TCK;
SDR 27 TDI (020a4802) TDO (020a4403) ;
RUNTEST 1 TCK;
SDR 27 TDI (020a4c02) TDO (020a4803) ;
RUNTEST 1 TCK;
SDR 27 TDI (020a5002) TDO (020a4c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (020a8302) TDO (020a5003) ;
RUNTEST 1 TCK;
SDR 27 TDI (020a8702) TDO (020a8303) ;
RUNTEST 1 TCK;
SDR 27 TDI (020a8b02) TDO (020a8703) ;
RUNTEST 1 TCK;
SDR 27 TDI (020a8f02) TDO (020a8b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (020a9302) TDO (020a8f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (020aa202) TDO (020a9303) ;
RUNTEST 1 TCK;
SDR 27 TDI (020aa702) TDO (020aa203) ;
RUNTEST 1 TCK;
SDR 27 TDI (020aab22) TDO (020aa703) ;
RUNTEST 1 TCK;
SDR 27 TDI (020aae22) TDO (020aab23) ;
RUNTEST 1 TCK;
SDR 27 TDI (020ab022) TDO (020aae23) ;
RUNTEST 1 TCK;
SDR 27 TDI (020ac042) TDO (020ab023) ;
RUNTEST 1 TCK;
SDR 27 TDI (020ac402) TDO (020ac043) ;
RUNTEST 1 TCK;
SDR 27 TDI (020ac802) TDO (020ac403) ;
RUNTEST 1 TCK;
SDR 27 TDI (020acc02) TDO (020ac803) ;
RUNTEST 1 TCK;
SDR 27 TDI (020ad002) TDO (020acc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (020b0302) TDO (020ad003) ;
RUNTEST 1 TCK;
SDR 27 TDI (020b0732) TDO (020b0303) ;
RUNTEST 1 TCK;
SDR 27 TDI (020b0b02) TDO (020b0733) ;
RUNTEST 1 TCK;
SDR 27 TDI (020b0f02) TDO (020b0b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (020b1382) TDO (020b0f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (020b2302) TDO (020b1383) ;
RUNTEST 1 TCK;
SDR 27 TDI (020b2702) TDO (020b2303) ;
RUNTEST 1 TCK;
SDR 27 TDI (020b2b02) TDO (020b2703) ;
RUNTEST 1 TCK;
SDR 27 TDI (020b2f02) TDO (020b2b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (020b303e) TDO (020b2f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (020b4002) TDO (020b303f) ;
RUNTEST 1 TCK;
SDR 27 TDI (020b4402) TDO (020b4003) ;
RUNTEST 1 TCK;
SDR 27 TDI (020b4802) TDO (020b4403) ;
RUNTEST 1 TCK;
SDR 27 TDI (020b4c02) TDO (020b4803) ;
RUNTEST 1 TCK;
SDR 27 TDI (020b5006) TDO (020b4c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (020b830a) TDO (020b5007) ;
RUNTEST 1 TCK;
SDR 27 TDI (020b8606) TDO (020b830b) ;
RUNTEST 1 TCK;
SDR 27 TDI (020b8b02) TDO (020b8607) ;
RUNTEST 1 TCK;
SDR 27 TDI (020b8f32) TDO (020b8b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (020b9302) TDO (020b8f33) ;
RUNTEST 1 TCK;
SDR 27 TDI (020ba302) TDO (020b9303) ;
RUNTEST 1 TCK;
SDR 27 TDI (020ba502) TDO (020ba303) ;
RUNTEST 1 TCK;
SDR 27 TDI (020bab02) TDO (020ba503) ;
RUNTEST 1 TCK;
SDR 27 TDI (020baf02) TDO (020bab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (020bb002) TDO (020baf03) ;
RUNTEST 1 TCK;
SDR 27 TDI (020bc042) TDO (020bb003) ;
RUNTEST 1 TCK;
SDR 27 TDI (020bc402) TDO (020bc043) ;
RUNTEST 1 TCK;
SDR 27 TDI (020bc802) TDO (020bc403) ;
RUNTEST 1 TCK;
SDR 27 TDI (020bcc22) TDO (020bc803) ;
RUNTEST 1 TCK;
SDR 27 TDI (020bd01a) TDO (020bcc23) ;
RUNTEST 1 TCK;
SDR 27 TDI (020c0302) TDO (020bd01b) ;
RUNTEST 1 TCK;
SDR 27 TDI (020c0502) TDO (020c0303) ;
RUNTEST 1 TCK;
SDR 27 TDI (020c0a02) TDO (020c0503) ;
RUNTEST 1 TCK;
SDR 27 TDI (020c0f02) TDO (020c0a03) ;
RUNTEST 1 TCK;
SDR 27 TDI (020c1202) TDO (020c0f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (020c2202) TDO (020c1203) ;
RUNTEST 1 TCK;
SDR 27 TDI (020c2702) TDO (020c2203) ;
RUNTEST 1 TCK;
SDR 27 TDI (020c2a02) TDO (020c2703) ;
RUNTEST 1 TCK;
SDR 27 TDI (020c2e02) TDO (020c2a03) ;
RUNTEST 1 TCK;
SDR 27 TDI (020c3002) TDO (020c2e03) ;
RUNTEST 1 TCK;
SDR 27 TDI (020c4002) TDO (020c3003) ;
RUNTEST 1 TCK;
SDR 27 TDI (020c4402) TDO (020c4003) ;
RUNTEST 1 TCK;
SDR 27 TDI (020c4802) TDO (020c4403) ;
RUNTEST 1 TCK;
SDR 27 TDI (020c4c02) TDO (020c4803) ;
RUNTEST 1 TCK;
SDR 27 TDI (020c5002) TDO (020c4c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (020c8102) TDO (020c5003) ;
RUNTEST 1 TCK;
SDR 27 TDI (020c8702) TDO (020c8103) ;
RUNTEST 1 TCK;
SDR 27 TDI (020c8902) TDO (020c8703) ;
RUNTEST 1 TCK;
SDR 27 TDI (020c8e22) TDO (020c8903) ;
RUNTEST 1 TCK;
SDR 27 TDI (020c9302) TDO (020c8e23) ;
RUNTEST 1 TCK;
SDR 27 TDI (020ca202) TDO (020c9303) ;
RUNTEST 1 TCK;
SDR 27 TDI (020ca602) TDO (020ca203) ;
RUNTEST 1 TCK;
SDR 27 TDI (020cab12) TDO (020ca603) ;
RUNTEST 1 TCK;
SDR 27 TDI (020cae12) TDO (020cab13) ;
RUNTEST 1 TCK;
SDR 27 TDI (020cb012) TDO (020cae13) ;
RUNTEST 1 TCK;
SDR 27 TDI (020cc042) TDO (020cb013) ;
RUNTEST 1 TCK;
SDR 27 TDI (020cc402) TDO (020cc043) ;
RUNTEST 1 TCK;
SDR 27 TDI (020cc802) TDO (020cc403) ;
RUNTEST 1 TCK;
SDR 27 TDI (020ccc22) TDO (020cc803) ;
RUNTEST 1 TCK;
SDR 27 TDI (020cd002) TDO (020ccc23) ;
RUNTEST 1 TCK;
SDR 27 TDI (020d0002) TDO (020cd003) ;
RUNTEST 1 TCK;
SDR 27 TDI (020d0402) TDO (020d0003) ;
RUNTEST 1 TCK;
SDR 27 TDI (020d0802) TDO (020d0403) ;
RUNTEST 1 TCK;
SDR 27 TDI (020d0e02) TDO (020d0803) ;
RUNTEST 1 TCK;
SDR 27 TDI (020d1002) TDO (020d0e03) ;
RUNTEST 1 TCK;
SDR 27 TDI (020d2202) TDO (020d1003) ;
RUNTEST 1 TCK;
SDR 27 TDI (020d2402) TDO (020d2203) ;
RUNTEST 1 TCK;
SDR 27 TDI (020d2a02) TDO (020d2403) ;
RUNTEST 1 TCK;
SDR 27 TDI (020d2e02) TDO (020d2a03) ;
RUNTEST 1 TCK;
SDR 27 TDI (020d3002) TDO (020d2e03) ;
RUNTEST 1 TCK;
SDR 27 TDI (020d4002) TDO (020d3003) ;
RUNTEST 1 TCK;
SDR 27 TDI (020d4402) TDO (020d4003) ;
RUNTEST 1 TCK;
SDR 27 TDI (020d4802) TDO (020d4403) ;
RUNTEST 1 TCK;
SDR 27 TDI (020d4c02) TDO (020d4803) ;
RUNTEST 1 TCK;
SDR 27 TDI (020d5002) TDO (020d4c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (020d830a) TDO (020d5003) ;
RUNTEST 1 TCK;
SDR 27 TDI (020d8406) TDO (020d830b) ;
RUNTEST 1 TCK;
SDR 27 TDI (020d8a02) TDO (020d8407) ;
RUNTEST 1 TCK;
SDR 27 TDI (020d8e32) TDO (020d8a03) ;
RUNTEST 1 TCK;
SDR 27 TDI (020d9202) TDO (020d8e33) ;
RUNTEST 1 TCK;
SDR 27 TDI (020da202) TDO (020d9203) ;
RUNTEST 1 TCK;
SDR 27 TDI (020da502) TDO (020da203) ;
RUNTEST 1 TCK;
SDR 27 TDI (020daa02) TDO (020da503) ;
RUNTEST 1 TCK;
SDR 27 TDI (020dae02) TDO (020daa03) ;
RUNTEST 1 TCK;
SDR 27 TDI (020db002) TDO (020dae03) ;
RUNTEST 1 TCK;
SDR 27 TDI (020dc042) TDO (020db003) ;
RUNTEST 1 TCK;
SDR 27 TDI (020dc402) TDO (020dc043) ;
RUNTEST 1 TCK;
SDR 27 TDI (020dc802) TDO (020dc403) ;
RUNTEST 1 TCK;
SDR 27 TDI (020dcc22) TDO (020dc803) ;
RUNTEST 1 TCK;
SDR 27 TDI (020dd01a) TDO (020dcc23) ;
RUNTEST 1 TCK;
SDR 27 TDI (020e030a) TDO (020dd01b) ;
RUNTEST 1 TCK;
SDR 27 TDI (020e0706) TDO (020e030b) ;
RUNTEST 1 TCK;
SDR 27 TDI (020e0b02) TDO (020e0707) ;
RUNTEST 1 TCK;
SDR 27 TDI (020e0f32) TDO (020e0b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (020e1102) TDO (020e0f33) ;
RUNTEST 1 TCK;
SDR 27 TDI (020e2302) TDO (020e1103) ;
RUNTEST 1 TCK;
SDR 27 TDI (020e2702) TDO (020e2303) ;
RUNTEST 1 TCK;
SDR 27 TDI (020e2b02) TDO (020e2703) ;
RUNTEST 1 TCK;
SDR 27 TDI (020e2f02) TDO (020e2b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (020e3002) TDO (020e2f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (020e4042) TDO (020e3003) ;
RUNTEST 1 TCK;
SDR 27 TDI (020e4402) TDO (020e4043) ;
RUNTEST 1 TCK;
SDR 27 TDI (020e4802) TDO (020e4403) ;
RUNTEST 1 TCK;
SDR 27 TDI (020e4c22) TDO (020e4803) ;
RUNTEST 1 TCK;
SDR 27 TDI (020e501a) TDO (020e4c23) ;
RUNTEST 1 TCK;
SDR 27 TDI (020e8302) TDO (020e501b) ;
RUNTEST 1 TCK;
SDR 27 TDI (020e8702) TDO (020e8303) ;
RUNTEST 1 TCK;
SDR 27 TDI (020e8b02) TDO (020e8703) ;
RUNTEST 1 TCK;
SDR 27 TDI (020e8f82) TDO (020e8b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (020e9302) TDO (020e8f83) ;
RUNTEST 1 TCK;
SDR 27 TDI (020ea302) TDO (020e9303) ;
RUNTEST 1 TCK;
SDR 27 TDI (020ea702) TDO (020ea303) ;
RUNTEST 1 TCK;
SDR 27 TDI (020eab02) TDO (020ea703) ;
RUNTEST 1 TCK;
SDR 27 TDI (020eaf3e) TDO (020eab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (020eb002) TDO (020eaf3f) ;
RUNTEST 1 TCK;
SDR 27 TDI (020ec002) TDO (020eb003) ;
RUNTEST 1 TCK;
SDR 27 TDI (020ec402) TDO (020ec003) ;
RUNTEST 1 TCK;
SDR 27 TDI (020ec802) TDO (020ec403) ;
RUNTEST 1 TCK;
SDR 27 TDI (020ecc1e) TDO (020ec803) ;
RUNTEST 1 TCK;
SDR 27 TDI (020ed002) TDO (020ecc1f) ;
RUNTEST 1 TCK;
SDR 27 TDI (020f0322) TDO (020ed003) ;
RUNTEST 1 TCK;
SDR 27 TDI (020f0702) TDO (020f0323) ;
RUNTEST 1 TCK;
SDR 27 TDI (020f0b02) TDO (020f0703) ;
RUNTEST 1 TCK;
SDR 27 TDI (020f0f02) TDO (020f0b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (020f1302) TDO (020f0f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (020f2302) TDO (020f1303) ;
RUNTEST 1 TCK;
SDR 27 TDI (020f2702) TDO (020f2303) ;
RUNTEST 1 TCK;
SDR 27 TDI (020f2b02) TDO (020f2703) ;
RUNTEST 1 TCK;
SDR 27 TDI (020f2f02) TDO (020f2b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (020f3002) TDO (020f2f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (020f4002) TDO (020f3003) ;
RUNTEST 1 TCK;
SDR 27 TDI (020f4402) TDO (020f4003) ;
RUNTEST 1 TCK;
SDR 27 TDI (020f4802) TDO (020f4403) ;
RUNTEST 1 TCK;
SDR 27 TDI (020f4c02) TDO (020f4803) ;
RUNTEST 1 TCK;
SDR 27 TDI (020f5002) TDO (020f4c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (020f8316) TDO (020f5003) ;
RUNTEST 1 TCK;
SDR 27 TDI (020f8702) TDO (020f8317) ;
RUNTEST 1 TCK;
SDR 27 TDI (020f8b02) TDO (020f8703) ;
RUNTEST 1 TCK;
SDR 27 TDI (020f8f02) TDO (020f8b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (020f9302) TDO (020f8f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (020fa342) TDO (020f9303) ;
RUNTEST 1 TCK;
SDR 27 TDI (020fa742) TDO (020fa343) ;
RUNTEST 1 TCK;
SDR 27 TDI (020fab02) TDO (020fa743) ;
RUNTEST 1 TCK;
SDR 27 TDI (020faf02) TDO (020fab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (020fb002) TDO (020faf03) ;
RUNTEST 1 TCK;
SDR 27 TDI (020fc002) TDO (020fb003) ;
RUNTEST 1 TCK;
SDR 27 TDI (020fc402) TDO (020fc003) ;
RUNTEST 1 TCK;
SDR 27 TDI (020fc802) TDO (020fc403) ;
RUNTEST 1 TCK;
SDR 27 TDI (020fcc02) TDO (020fc803) ;
RUNTEST 1 TCK;
SDR 27 TDI (020fd002) TDO (020fcc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02100302) TDO (020fd003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02100702) TDO (02100303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02100b02) TDO (02100703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02100f02) TDO (02100b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02101302) TDO (02100f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02102302) TDO (02101303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02102702) TDO (02102303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02102b02) TDO (02102703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02102f02) TDO (02102b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02103002) TDO (02102f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02104002) TDO (02103003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02104402) TDO (02104003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02104802) TDO (02104403) ;
RUNTEST 1 TCK;
SDR 27 TDI (02104c02) TDO (02104803) ;
RUNTEST 1 TCK;
SDR 27 TDI (02105002) TDO (02104c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0210830a) TDO (02105003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02108706) TDO (0210830b) ;
RUNTEST 1 TCK;
SDR 27 TDI (02108b02) TDO (02108707) ;
RUNTEST 1 TCK;
SDR 27 TDI (02108f32) TDO (02108b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02109302) TDO (02108f33) ;
RUNTEST 1 TCK;
SDR 27 TDI (0210a302) TDO (02109303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0210a702) TDO (0210a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0210ab02) TDO (0210a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0210af02) TDO (0210ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0210b002) TDO (0210af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0210c042) TDO (0210b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0210c402) TDO (0210c043) ;
RUNTEST 1 TCK;
SDR 27 TDI (0210c802) TDO (0210c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0210cc22) TDO (0210c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0210d01a) TDO (0210cc23) ;
RUNTEST 1 TCK;
SDR 27 TDI (02110302) TDO (0210d01b) ;
RUNTEST 1 TCK;
SDR 27 TDI (02110702) TDO (02110303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02110b02) TDO (02110703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02110f02) TDO (02110b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02111302) TDO (02110f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02112302) TDO (02111303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02112702) TDO (02112303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02112b02) TDO (02112703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02112f02) TDO (02112b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02113002) TDO (02112f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02114002) TDO (02113003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02114402) TDO (02114003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02114802) TDO (02114403) ;
RUNTEST 1 TCK;
SDR 27 TDI (02114c02) TDO (02114803) ;
RUNTEST 1 TCK;
SDR 27 TDI (02115002) TDO (02114c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0211830a) TDO (02115003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02118706) TDO (0211830b) ;
RUNTEST 1 TCK;
SDR 27 TDI (02118b02) TDO (02118707) ;
RUNTEST 1 TCK;
SDR 27 TDI (02118c32) TDO (02118b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02119302) TDO (02118c33) ;
RUNTEST 1 TCK;
SDR 27 TDI (0211a102) TDO (02119303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0211a702) TDO (0211a103) ;
RUNTEST 1 TCK;
SDR 27 TDI (0211a902) TDO (0211a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0211ad02) TDO (0211a903) ;
RUNTEST 1 TCK;
SDR 27 TDI (0211b002) TDO (0211ad03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0211c042) TDO (0211b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0211c402) TDO (0211c043) ;
RUNTEST 1 TCK;
SDR 27 TDI (0211c802) TDO (0211c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0211cc22) TDO (0211c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0211d01a) TDO (0211cc23) ;
RUNTEST 1 TCK;
SDR 27 TDI (02120302) TDO (0211d01b) ;
RUNTEST 1 TCK;
SDR 27 TDI (02120402) TDO (02120303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02120882) TDO (02120403) ;
RUNTEST 1 TCK;
SDR 27 TDI (02120f02) TDO (02120883) ;
RUNTEST 1 TCK;
SDR 27 TDI (02121202) TDO (02120f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02122202) TDO (02121203) ;
RUNTEST 1 TCK;
SDR 27 TDI (02122542) TDO (02122203) ;
RUNTEST 1 TCK;
SDR 27 TDI (02122a3e) TDO (02122543) ;
RUNTEST 1 TCK;
SDR 27 TDI (02122e02) TDO (02122a3f) ;
RUNTEST 1 TCK;
SDR 27 TDI (02123002) TDO (02122e03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02124002) TDO (02123003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02124402) TDO (02124003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0212481e) TDO (02124403) ;
RUNTEST 1 TCK;
SDR 27 TDI (02124c02) TDO (0212481f) ;
RUNTEST 1 TCK;
SDR 27 TDI (02125002) TDO (02124c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0212800a) TDO (02125003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02128406) TDO (0212800b) ;
RUNTEST 1 TCK;
SDR 27 TDI (02128802) TDO (02128407) ;
RUNTEST 1 TCK;
SDR 27 TDI (02128f32) TDO (02128803) ;
RUNTEST 1 TCK;
SDR 27 TDI (02129002) TDO (02128f33) ;
RUNTEST 1 TCK;
SDR 27 TDI (0212a242) TDO (02129003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0212a402) TDO (0212a243) ;
RUNTEST 1 TCK;
SDR 27 TDI (0212aa02) TDO (0212a403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0212ae02) TDO (0212aa03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0212b002) TDO (0212ae03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0212c042) TDO (0212b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0212c422) TDO (0212c043) ;
RUNTEST 1 TCK;
SDR 27 TDI (0212c802) TDO (0212c423) ;
RUNTEST 1 TCK;
SDR 27 TDI (0212cc22) TDO (0212c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0212d01a) TDO (0212cc23) ;
RUNTEST 1 TCK;
SDR 27 TDI (02130302) TDO (0212d01b) ;
RUNTEST 1 TCK;
SDR 27 TDI (02130702) TDO (02130303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02130b02) TDO (02130703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02130f02) TDO (02130b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02131302) TDO (02130f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02132302) TDO (02131303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02132702) TDO (02132303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02132b02) TDO (02132703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02132f02) TDO (02132b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02133002) TDO (02132f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02134002) TDO (02133003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02134402) TDO (02134003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02134802) TDO (02134403) ;
RUNTEST 1 TCK;
SDR 27 TDI (02134c02) TDO (02134803) ;
RUNTEST 1 TCK;
SDR 27 TDI (02135002) TDO (02134c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0213830a) TDO (02135003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02138706) TDO (0213830b) ;
RUNTEST 1 TCK;
SDR 27 TDI (02138b02) TDO (02138707) ;
RUNTEST 1 TCK;
SDR 27 TDI (02138f32) TDO (02138b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02139302) TDO (02138f33) ;
RUNTEST 1 TCK;
SDR 27 TDI (0213a302) TDO (02139303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0213a702) TDO (0213a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0213ab02) TDO (0213a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0213af02) TDO (0213ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0213b002) TDO (0213af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0213c042) TDO (0213b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0213c402) TDO (0213c043) ;
RUNTEST 1 TCK;
SDR 27 TDI (0213c802) TDO (0213c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0213cc22) TDO (0213c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0213d01a) TDO (0213cc23) ;
RUNTEST 1 TCK;
SDR 27 TDI (02140202) TDO (0213d01b) ;
RUNTEST 1 TCK;
SDR 27 TDI (02140402) TDO (02140203) ;
RUNTEST 1 TCK;
SDR 27 TDI (02140a02) TDO (02140403) ;
RUNTEST 1 TCK;
SDR 27 TDI (02140f02) TDO (02140a03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02141002) TDO (02140f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02142202) TDO (02141003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02142402) TDO (02142203) ;
RUNTEST 1 TCK;
SDR 27 TDI (02142a02) TDO (02142403) ;
RUNTEST 1 TCK;
SDR 27 TDI (02142e02) TDO (02142a03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02143002) TDO (02142e03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02144002) TDO (02143003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02144402) TDO (02144003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02144802) TDO (02144403) ;
RUNTEST 1 TCK;
SDR 27 TDI (02144c02) TDO (02144803) ;
RUNTEST 1 TCK;
SDR 27 TDI (02145002) TDO (02144c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0214830a) TDO (02145003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02148706) TDO (0214830b) ;
RUNTEST 1 TCK;
SDR 27 TDI (02148b02) TDO (02148707) ;
RUNTEST 1 TCK;
SDR 27 TDI (02148f32) TDO (02148b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02149302) TDO (02148f33) ;
RUNTEST 1 TCK;
SDR 27 TDI (0214a302) TDO (02149303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0214a702) TDO (0214a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0214ab02) TDO (0214a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0214af02) TDO (0214ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0214b002) TDO (0214af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0214c042) TDO (0214b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0214c402) TDO (0214c043) ;
RUNTEST 1 TCK;
SDR 27 TDI (0214c802) TDO (0214c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0214cc22) TDO (0214c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0214d01a) TDO (0214cc23) ;
RUNTEST 1 TCK;
SDR 27 TDI (02150302) TDO (0214d01b) ;
RUNTEST 1 TCK;
SDR 27 TDI (02150702) TDO (02150303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02150b02) TDO (02150703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02150f02) TDO (02150b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02151302) TDO (02150f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02152342) TDO (02151303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02152702) TDO (02152343) ;
RUNTEST 1 TCK;
SDR 27 TDI (02152b02) TDO (02152703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02152f02) TDO (02152b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02153002) TDO (02152f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02154002) TDO (02153003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02154402) TDO (02154003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02154802) TDO (02154403) ;
RUNTEST 1 TCK;
SDR 27 TDI (02154c02) TDO (02154803) ;
RUNTEST 1 TCK;
SDR 27 TDI (02155002) TDO (02154c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02158302) TDO (02155003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02158702) TDO (02158303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02158b02) TDO (02158703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02158f02) TDO (02158b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02159302) TDO (02158f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0215a302) TDO (02159303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0215a742) TDO (0215a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0215ab02) TDO (0215a743) ;
RUNTEST 1 TCK;
SDR 27 TDI (0215af02) TDO (0215ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0215b002) TDO (0215af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0215c002) TDO (0215b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0215c402) TDO (0215c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0215c802) TDO (0215c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0215cc02) TDO (0215c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0215d002) TDO (0215cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02160302) TDO (0215d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02160402) TDO (02160303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02160a02) TDO (02160403) ;
RUNTEST 1 TCK;
SDR 27 TDI (02160c02) TDO (02160a03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02161002) TDO (02160c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02162002) TDO (02161003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02162502) TDO (02162003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02162802) TDO (02162503) ;
RUNTEST 1 TCK;
SDR 27 TDI (02162c02) TDO (02162803) ;
RUNTEST 1 TCK;
SDR 27 TDI (02163002) TDO (02162c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02164002) TDO (02163003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02164402) TDO (02164003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02164802) TDO (02164403) ;
RUNTEST 1 TCK;
SDR 27 TDI (02164c02) TDO (02164803) ;
RUNTEST 1 TCK;
SDR 27 TDI (02165002) TDO (02164c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0216800a) TDO (02165003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02168706) TDO (0216800b) ;
RUNTEST 1 TCK;
SDR 27 TDI (02168902) TDO (02168707) ;
RUNTEST 1 TCK;
SDR 27 TDI (02168f32) TDO (02168903) ;
RUNTEST 1 TCK;
SDR 27 TDI (02169302) TDO (02168f33) ;
RUNTEST 1 TCK;
SDR 27 TDI (0216a302) TDO (02169303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0216a602) TDO (0216a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0216ab02) TDO (0216a603) ;
RUNTEST 1 TCK;
SDR 27 TDI (0216af02) TDO (0216ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0216b002) TDO (0216af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0216c042) TDO (0216b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0216c402) TDO (0216c043) ;
RUNTEST 1 TCK;
SDR 27 TDI (0216c802) TDO (0216c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0216cc22) TDO (0216c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0216d01a) TDO (0216cc23) ;
RUNTEST 1 TCK;
SDR 27 TDI (02170302) TDO (0216d01b) ;
RUNTEST 1 TCK;
SDR 27 TDI (02170702) TDO (02170303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02170b02) TDO (02170703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02170f02) TDO (02170b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02171302) TDO (02170f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02172302) TDO (02171303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02172702) TDO (02172303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02172b02) TDO (02172703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02172f02) TDO (02172b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02173002) TDO (02172f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02174002) TDO (02173003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02174402) TDO (02174003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02174802) TDO (02174403) ;
RUNTEST 1 TCK;
SDR 27 TDI (02174c02) TDO (02174803) ;
RUNTEST 1 TCK;
SDR 27 TDI (02175002) TDO (02174c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0217830a) TDO (02175003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02178706) TDO (0217830b) ;
RUNTEST 1 TCK;
SDR 27 TDI (02178b02) TDO (02178707) ;
RUNTEST 1 TCK;
SDR 27 TDI (02178f32) TDO (02178b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02179302) TDO (02178f33) ;
RUNTEST 1 TCK;
SDR 27 TDI (0217a302) TDO (02179303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0217a702) TDO (0217a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0217ab02) TDO (0217a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0217af02) TDO (0217ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0217b002) TDO (0217af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0217c042) TDO (0217b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0217c402) TDO (0217c043) ;
RUNTEST 1 TCK;
SDR 27 TDI (0217c802) TDO (0217c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0217cc22) TDO (0217c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0217d01a) TDO (0217cc23) ;
RUNTEST 1 TCK;
SDR 27 TDI (02180302) TDO (0217d01b) ;
RUNTEST 1 TCK;
SDR 27 TDI (02180702) TDO (02180303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02180b02) TDO (02180703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02180f02) TDO (02180b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02181302) TDO (02180f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02182302) TDO (02181303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02182702) TDO (02182303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02182b02) TDO (02182703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02182f02) TDO (02182b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02183002) TDO (02182f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02184002) TDO (02183003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02184402) TDO (02184003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02184802) TDO (02184403) ;
RUNTEST 1 TCK;
SDR 27 TDI (02184c02) TDO (02184803) ;
RUNTEST 1 TCK;
SDR 27 TDI (02185002) TDO (02184c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02188302) TDO (02185003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02188402) TDO (02188303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02188a82) TDO (02188403) ;
RUNTEST 1 TCK;
SDR 27 TDI (02188e82) TDO (02188a83) ;
RUNTEST 1 TCK;
SDR 27 TDI (02189082) TDO (02188e83) ;
RUNTEST 1 TCK;
SDR 27 TDI (0218a202) TDO (02189083) ;
RUNTEST 1 TCK;
SDR 27 TDI (0218a502) TDO (0218a203) ;
RUNTEST 1 TCK;
SDR 27 TDI (0218aa02) TDO (0218a503) ;
RUNTEST 1 TCK;
SDR 27 TDI (0218ae02) TDO (0218aa03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0218b002) TDO (0218ae03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0218c002) TDO (0218b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0218c402) TDO (0218c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0218c802) TDO (0218c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0218cc02) TDO (0218c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0218d002) TDO (0218cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02190002) TDO (0218d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02190402) TDO (02190003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02190802) TDO (02190403) ;
RUNTEST 1 TCK;
SDR 27 TDI (02190e02) TDO (02190803) ;
RUNTEST 1 TCK;
SDR 27 TDI (02191002) TDO (02190e03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02192002) TDO (02191003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02192402) TDO (02192003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02192a02) TDO (02192403) ;
RUNTEST 1 TCK;
SDR 27 TDI (02192e02) TDO (02192a03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02193002) TDO (02192e03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02194002) TDO (02193003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02194402) TDO (02194003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02194802) TDO (02194403) ;
RUNTEST 1 TCK;
SDR 27 TDI (02194c02) TDO (02194803) ;
RUNTEST 1 TCK;
SDR 27 TDI (02195002) TDO (02194c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0219800a) TDO (02195003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02198406) TDO (0219800b) ;
RUNTEST 1 TCK;
SDR 27 TDI (02198802) TDO (02198407) ;
RUNTEST 1 TCK;
SDR 27 TDI (02198e32) TDO (02198803) ;
RUNTEST 1 TCK;
SDR 27 TDI (02199002) TDO (02198e33) ;
RUNTEST 1 TCK;
SDR 27 TDI (0219a202) TDO (02199003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0219a402) TDO (0219a203) ;
RUNTEST 1 TCK;
SDR 27 TDI (0219aa02) TDO (0219a403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0219ae02) TDO (0219aa03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0219b002) TDO (0219ae03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0219c042) TDO (0219b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0219c402) TDO (0219c043) ;
RUNTEST 1 TCK;
SDR 27 TDI (0219c802) TDO (0219c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0219cc22) TDO (0219c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0219d01a) TDO (0219cc23) ;
RUNTEST 1 TCK;
SDR 27 TDI (021a0002) TDO (0219d01b) ;
RUNTEST 1 TCK;
SDR 27 TDI (021a0402) TDO (021a0003) ;
RUNTEST 1 TCK;
SDR 27 TDI (021a0802) TDO (021a0403) ;
RUNTEST 1 TCK;
SDR 27 TDI (021a0f02) TDO (021a0803) ;
RUNTEST 1 TCK;
SDR 27 TDI (021a1002) TDO (021a0f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (021a2202) TDO (021a1003) ;
RUNTEST 1 TCK;
SDR 27 TDI (021a2402) TDO (021a2203) ;
RUNTEST 1 TCK;
SDR 27 TDI (021a2a02) TDO (021a2403) ;
RUNTEST 1 TCK;
SDR 27 TDI (021a2e02) TDO (021a2a03) ;
RUNTEST 1 TCK;
SDR 27 TDI (021a3002) TDO (021a2e03) ;
RUNTEST 1 TCK;
SDR 27 TDI (021a4002) TDO (021a3003) ;
RUNTEST 1 TCK;
SDR 27 TDI (021a4402) TDO (021a4003) ;
RUNTEST 1 TCK;
SDR 27 TDI (021a4802) TDO (021a4403) ;
RUNTEST 1 TCK;
SDR 27 TDI (021a4c02) TDO (021a4803) ;
RUNTEST 1 TCK;
SDR 27 TDI (021a5002) TDO (021a4c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (021a810a) TDO (021a5003) ;
RUNTEST 1 TCK;
SDR 27 TDI (021a8406) TDO (021a810b) ;
RUNTEST 1 TCK;
SDR 27 TDI (021a8802) TDO (021a8407) ;
RUNTEST 1 TCK;
SDR 27 TDI (021a8f32) TDO (021a8803) ;
RUNTEST 1 TCK;
SDR 27 TDI (021a9202) TDO (021a8f33) ;
RUNTEST 1 TCK;
SDR 27 TDI (021aa202) TDO (021a9203) ;
RUNTEST 1 TCK;
SDR 27 TDI (021aa402) TDO (021aa203) ;
RUNTEST 1 TCK;
SDR 27 TDI (021aaa02) TDO (021aa403) ;
RUNTEST 1 TCK;
SDR 27 TDI (021aae02) TDO (021aaa03) ;
RUNTEST 1 TCK;
SDR 27 TDI (021ab002) TDO (021aae03) ;
RUNTEST 1 TCK;
SDR 27 TDI (021ac042) TDO (021ab003) ;
RUNTEST 1 TCK;
SDR 27 TDI (021ac402) TDO (021ac043) ;
RUNTEST 1 TCK;
SDR 27 TDI (021ac802) TDO (021ac403) ;
RUNTEST 1 TCK;
SDR 27 TDI (021acc22) TDO (021ac803) ;
RUNTEST 1 TCK;
SDR 27 TDI (021ad01a) TDO (021acc23) ;
RUNTEST 1 TCK;
SDR 27 TDI (021b0302) TDO (021ad01b) ;
RUNTEST 1 TCK;
SDR 27 TDI (021b0402) TDO (021b0303) ;
RUNTEST 1 TCK;
SDR 27 TDI (021b0a02) TDO (021b0403) ;
RUNTEST 1 TCK;
SDR 27 TDI (021b0f02) TDO (021b0a03) ;
RUNTEST 1 TCK;
SDR 27 TDI (021b1202) TDO (021b0f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (021b2202) TDO (021b1203) ;
RUNTEST 1 TCK;
SDR 27 TDI (021b2502) TDO (021b2203) ;
RUNTEST 1 TCK;
SDR 27 TDI (021b2a02) TDO (021b2503) ;
RUNTEST 1 TCK;
SDR 27 TDI (021b2e02) TDO (021b2a03) ;
RUNTEST 1 TCK;
SDR 27 TDI (021b3002) TDO (021b2e03) ;
RUNTEST 1 TCK;
SDR 27 TDI (021b4002) TDO (021b3003) ;
RUNTEST 1 TCK;
SDR 27 TDI (021b4402) TDO (021b4003) ;
RUNTEST 1 TCK;
SDR 27 TDI (021b4802) TDO (021b4403) ;
RUNTEST 1 TCK;
SDR 27 TDI (021b4c02) TDO (021b4803) ;
RUNTEST 1 TCK;
SDR 27 TDI (021b5002) TDO (021b4c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (021b820a) TDO (021b5003) ;
RUNTEST 1 TCK;
SDR 27 TDI (021b8706) TDO (021b820b) ;
RUNTEST 1 TCK;
SDR 27 TDI (021b8b02) TDO (021b8707) ;
RUNTEST 1 TCK;
SDR 27 TDI (021b8f32) TDO (021b8b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (021b9102) TDO (021b8f33) ;
RUNTEST 1 TCK;
SDR 27 TDI (021ba302) TDO (021b9103) ;
RUNTEST 1 TCK;
SDR 27 TDI (021ba602) TDO (021ba303) ;
RUNTEST 1 TCK;
SDR 27 TDI (021baa02) TDO (021ba603) ;
RUNTEST 1 TCK;
SDR 27 TDI (021baf02) TDO (021baa03) ;
RUNTEST 1 TCK;
SDR 27 TDI (021bb002) TDO (021baf03) ;
RUNTEST 1 TCK;
SDR 27 TDI (021bc042) TDO (021bb003) ;
RUNTEST 1 TCK;
SDR 27 TDI (021bc402) TDO (021bc043) ;
RUNTEST 1 TCK;
SDR 27 TDI (021bc802) TDO (021bc403) ;
RUNTEST 1 TCK;
SDR 27 TDI (021bcc22) TDO (021bc803) ;
RUNTEST 1 TCK;
SDR 27 TDI (021bd01a) TDO (021bcc23) ;
RUNTEST 1 TCK;
SDR 27 TDI (021c0202) TDO (021bd01b) ;
RUNTEST 1 TCK;
SDR 27 TDI (021c0702) TDO (021c0203) ;
RUNTEST 1 TCK;
SDR 27 TDI (021c0b02) TDO (021c0703) ;
RUNTEST 1 TCK;
SDR 27 TDI (021c0f02) TDO (021c0b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (021c1102) TDO (021c0f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (021c2302) TDO (021c1103) ;
RUNTEST 1 TCK;
SDR 27 TDI (021c2602) TDO (021c2303) ;
RUNTEST 1 TCK;
SDR 27 TDI (021c2a02) TDO (021c2603) ;
RUNTEST 1 TCK;
SDR 27 TDI (021c2f02) TDO (021c2a03) ;
RUNTEST 1 TCK;
SDR 27 TDI (021c3002) TDO (021c2f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (021c4002) TDO (021c3003) ;
RUNTEST 1 TCK;
SDR 27 TDI (021c4402) TDO (021c4003) ;
RUNTEST 1 TCK;
SDR 27 TDI (021c4802) TDO (021c4403) ;
RUNTEST 1 TCK;
SDR 27 TDI (021c4c02) TDO (021c4803) ;
RUNTEST 1 TCK;
SDR 27 TDI (021c5002) TDO (021c4c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (021c820a) TDO (021c5003) ;
RUNTEST 1 TCK;
SDR 27 TDI (021c8606) TDO (021c820b) ;
RUNTEST 1 TCK;
SDR 27 TDI (021c8a02) TDO (021c8607) ;
RUNTEST 1 TCK;
SDR 27 TDI (021c8f32) TDO (021c8a03) ;
RUNTEST 1 TCK;
SDR 27 TDI (021c9302) TDO (021c8f33) ;
RUNTEST 1 TCK;
SDR 27 TDI (021ca102) TDO (021c9303) ;
RUNTEST 1 TCK;
SDR 27 TDI (021ca702) TDO (021ca103) ;
RUNTEST 1 TCK;
SDR 27 TDI (021caa02) TDO (021ca703) ;
RUNTEST 1 TCK;
SDR 27 TDI (021caf02) TDO (021caa03) ;
RUNTEST 1 TCK;
SDR 27 TDI (021cb002) TDO (021caf03) ;
RUNTEST 1 TCK;
SDR 27 TDI (021cc042) TDO (021cb003) ;
RUNTEST 1 TCK;
SDR 27 TDI (021cc402) TDO (021cc043) ;
RUNTEST 1 TCK;
SDR 27 TDI (021cc802) TDO (021cc403) ;
RUNTEST 1 TCK;
SDR 27 TDI (021ccc22) TDO (021cc803) ;
RUNTEST 1 TCK;
SDR 27 TDI (021cd01a) TDO (021ccc23) ;
RUNTEST 1 TCK;
SDR 27 TDI (021d0302) TDO (021cd01b) ;
RUNTEST 1 TCK;
SDR 27 TDI (021d0602) TDO (021d0303) ;
RUNTEST 1 TCK;
SDR 27 TDI (021d0b02) TDO (021d0603) ;
RUNTEST 1 TCK;
SDR 27 TDI (021d0f02) TDO (021d0b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (021d1302) TDO (021d0f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (021d2102) TDO (021d1303) ;
RUNTEST 1 TCK;
SDR 27 TDI (021d2602) TDO (021d2103) ;
RUNTEST 1 TCK;
SDR 27 TDI (021d2a02) TDO (021d2603) ;
RUNTEST 1 TCK;
SDR 27 TDI (021d2f02) TDO (021d2a03) ;
RUNTEST 1 TCK;
SDR 27 TDI (021d3002) TDO (021d2f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (021d4002) TDO (021d3003) ;
RUNTEST 1 TCK;
SDR 27 TDI (021d4402) TDO (021d4003) ;
RUNTEST 1 TCK;
SDR 27 TDI (021d4802) TDO (021d4403) ;
RUNTEST 1 TCK;
SDR 27 TDI (021d4c02) TDO (021d4803) ;
RUNTEST 1 TCK;
SDR 27 TDI (021d5002) TDO (021d4c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (021d8302) TDO (021d5003) ;
RUNTEST 1 TCK;
SDR 27 TDI (021d8602) TDO (021d8303) ;
RUNTEST 1 TCK;
SDR 27 TDI (021d8b02) TDO (021d8603) ;
RUNTEST 1 TCK;
SDR 27 TDI (021d8f02) TDO (021d8b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (021d9302) TDO (021d8f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (021da302) TDO (021d9303) ;
RUNTEST 1 TCK;
SDR 27 TDI (021da402) TDO (021da303) ;
RUNTEST 1 TCK;
SDR 27 TDI (021dab02) TDO (021da403) ;
RUNTEST 1 TCK;
SDR 27 TDI (021daf02) TDO (021dab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (021db002) TDO (021daf03) ;
RUNTEST 1 TCK;
SDR 27 TDI (021dc002) TDO (021db003) ;
RUNTEST 1 TCK;
SDR 27 TDI (021dc402) TDO (021dc003) ;
RUNTEST 1 TCK;
SDR 27 TDI (021dc802) TDO (021dc403) ;
RUNTEST 1 TCK;
SDR 27 TDI (021dcc02) TDO (021dc803) ;
RUNTEST 1 TCK;
SDR 27 TDI (021dd002) TDO (021dcc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (021e0302) TDO (021dd003) ;
RUNTEST 1 TCK;
SDR 27 TDI (021e0602) TDO (021e0303) ;
RUNTEST 1 TCK;
SDR 27 TDI (021e0b02) TDO (021e0603) ;
RUNTEST 1 TCK;
SDR 27 TDI (021e0d02) TDO (021e0b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (021e1302) TDO (021e0d03) ;
RUNTEST 1 TCK;
SDR 27 TDI (021e2302) TDO (021e1303) ;
RUNTEST 1 TCK;
SDR 27 TDI (021e2602) TDO (021e2303) ;
RUNTEST 1 TCK;
SDR 27 TDI (021e2b02) TDO (021e2603) ;
RUNTEST 1 TCK;
SDR 27 TDI (021e2f02) TDO (021e2b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (021e3002) TDO (021e2f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (021e4002) TDO (021e3003) ;
RUNTEST 1 TCK;
SDR 27 TDI (021e4402) TDO (021e4003) ;
RUNTEST 1 TCK;
SDR 27 TDI (021e4802) TDO (021e4403) ;
RUNTEST 1 TCK;
SDR 27 TDI (021e4c02) TDO (021e4803) ;
RUNTEST 1 TCK;
SDR 27 TDI (021e5002) TDO (021e4c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (021e8302) TDO (021e5003) ;
RUNTEST 1 TCK;
SDR 27 TDI (021e8602) TDO (021e8303) ;
RUNTEST 1 TCK;
SDR 27 TDI (021e8a02) TDO (021e8603) ;
RUNTEST 1 TCK;
SDR 27 TDI (021e8d02) TDO (021e8a03) ;
RUNTEST 1 TCK;
SDR 27 TDI (021e9302) TDO (021e8d03) ;
RUNTEST 1 TCK;
SDR 27 TDI (021ea302) TDO (021e9303) ;
RUNTEST 1 TCK;
SDR 27 TDI (021ea602) TDO (021ea303) ;
RUNTEST 1 TCK;
SDR 27 TDI (021eab02) TDO (021ea603) ;
RUNTEST 1 TCK;
SDR 27 TDI (021eaf02) TDO (021eab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (021eb002) TDO (021eaf03) ;
RUNTEST 1 TCK;
SDR 27 TDI (021ec002) TDO (021eb003) ;
RUNTEST 1 TCK;
SDR 27 TDI (021ec402) TDO (021ec003) ;
RUNTEST 1 TCK;
SDR 27 TDI (021ec802) TDO (021ec403) ;
RUNTEST 1 TCK;
SDR 27 TDI (021ecc02) TDO (021ec803) ;
RUNTEST 1 TCK;
SDR 27 TDI (021ed002) TDO (021ecc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (021f0302) TDO (021ed003) ;
RUNTEST 1 TCK;
SDR 27 TDI (021f0602) TDO (021f0303) ;
RUNTEST 1 TCK;
SDR 27 TDI (021f0b02) TDO (021f0603) ;
RUNTEST 1 TCK;
SDR 27 TDI (021f0d02) TDO (021f0b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (021f1302) TDO (021f0d03) ;
RUNTEST 1 TCK;
SDR 27 TDI (021f2302) TDO (021f1303) ;
RUNTEST 1 TCK;
SDR 27 TDI (021f2602) TDO (021f2303) ;
RUNTEST 1 TCK;
SDR 27 TDI (021f2b02) TDO (021f2603) ;
RUNTEST 1 TCK;
SDR 27 TDI (021f2f02) TDO (021f2b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (021f3002) TDO (021f2f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (021f4002) TDO (021f3003) ;
RUNTEST 1 TCK;
SDR 27 TDI (021f4402) TDO (021f4003) ;
RUNTEST 1 TCK;
SDR 27 TDI (021f4802) TDO (021f4403) ;
RUNTEST 1 TCK;
SDR 27 TDI (021f4c02) TDO (021f4803) ;
RUNTEST 1 TCK;
SDR 27 TDI (021f5002) TDO (021f4c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (021f8302) TDO (021f5003) ;
RUNTEST 1 TCK;
SDR 27 TDI (021f8602) TDO (021f8303) ;
RUNTEST 1 TCK;
SDR 27 TDI (021f8b02) TDO (021f8603) ;
RUNTEST 1 TCK;
SDR 27 TDI (021f8d02) TDO (021f8b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (021f9302) TDO (021f8d03) ;
RUNTEST 1 TCK;
SDR 27 TDI (021fa302) TDO (021f9303) ;
RUNTEST 1 TCK;
SDR 27 TDI (021fa602) TDO (021fa303) ;
RUNTEST 1 TCK;
SDR 27 TDI (021fab02) TDO (021fa603) ;
RUNTEST 1 TCK;
SDR 27 TDI (021faf02) TDO (021fab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (021fb002) TDO (021faf03) ;
RUNTEST 1 TCK;
SDR 27 TDI (021fc002) TDO (021fb003) ;
RUNTEST 1 TCK;
SDR 27 TDI (021fc402) TDO (021fc003) ;
RUNTEST 1 TCK;
SDR 27 TDI (021fc802) TDO (021fc403) ;
RUNTEST 1 TCK;
SDR 27 TDI (021fcc02) TDO (021fc803) ;
RUNTEST 1 TCK;
SDR 27 TDI (021fd002) TDO (021fcc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02200202) TDO (021fd003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0220078a) TDO (02200203) ;
RUNTEST 1 TCK;
SDR 27 TDI (02200b36) TDO (0220078b) ;
RUNTEST 1 TCK;
SDR 27 TDI (02200f02) TDO (02200b37) ;
RUNTEST 1 TCK;
SDR 27 TDI (02201302) TDO (02200f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02202302) TDO (02201303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0220263e) TDO (02202303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02202b42) TDO (0220263f) ;
RUNTEST 1 TCK;
SDR 27 TDI (02202f02) TDO (02202b43) ;
RUNTEST 1 TCK;
SDR 27 TDI (02203002) TDO (02202f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02204002) TDO (02203003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0220441e) TDO (02204003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02204802) TDO (0220441f) ;
RUNTEST 1 TCK;
SDR 27 TDI (02204c02) TDO (02204803) ;
RUNTEST 1 TCK;
SDR 27 TDI (02205002) TDO (02204c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02208302) TDO (02205003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02208702) TDO (02208303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02208b02) TDO (02208703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02208d02) TDO (02208b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02209302) TDO (02208d03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0220a102) TDO (02209303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0220a702) TDO (0220a103) ;
RUNTEST 1 TCK;
SDR 27 TDI (0220ab02) TDO (0220a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0220af02) TDO (0220ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0220b002) TDO (0220af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0220c002) TDO (0220b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0220c402) TDO (0220c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0220c802) TDO (0220c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0220cc02) TDO (0220c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0220d002) TDO (0220cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02210302) TDO (0220d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02210702) TDO (02210303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02210b02) TDO (02210703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02210f02) TDO (02210b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02211102) TDO (02210f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02212302) TDO (02211103) ;
RUNTEST 1 TCK;
SDR 27 TDI (02212702) TDO (02212303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02212b02) TDO (02212703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02212f02) TDO (02212b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02213002) TDO (02212f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02214002) TDO (02213003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02214402) TDO (02214003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02214802) TDO (02214403) ;
RUNTEST 1 TCK;
SDR 27 TDI (02214c02) TDO (02214803) ;
RUNTEST 1 TCK;
SDR 27 TDI (02215002) TDO (02214c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02218202) TDO (02215003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02218602) TDO (02218203) ;
RUNTEST 1 TCK;
SDR 27 TDI (02218b02) TDO (02218603) ;
RUNTEST 1 TCK;
SDR 27 TDI (02218f02) TDO (02218b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02219302) TDO (02218f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0221a302) TDO (02219303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0221a702) TDO (0221a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0221ab02) TDO (0221a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0221af02) TDO (0221ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0221b002) TDO (0221af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0221c002) TDO (0221b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0221c402) TDO (0221c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0221c802) TDO (0221c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0221cc02) TDO (0221c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0221d002) TDO (0221cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02220302) TDO (0221d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02220702) TDO (02220303) MASK (07fffeff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02220b02) TDO (02220703) MASK (07ffffff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02220f02) TDO (02220b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02221302) TDO (02220f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02222302) TDO (02221303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02222602) TDO (02222303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02222b02) TDO (02222603) ;
RUNTEST 1 TCK;
SDR 27 TDI (02222f02) TDO (02222b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02223002) TDO (02222f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02224002) TDO (02223003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02224402) TDO (02224003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02224802) TDO (02224403) ;
RUNTEST 1 TCK;
SDR 27 TDI (02224c02) TDO (02224803) ;
RUNTEST 1 TCK;
SDR 27 TDI (02225002) TDO (02224c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02228302) TDO (02225003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02228702) TDO (02228303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02228b02) TDO (02228703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02228f02) TDO (02228b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02229302) TDO (02228f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0222a302) TDO (02229303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0222a702) TDO (0222a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0222ab02) TDO (0222a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0222af02) TDO (0222ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0222b002) TDO (0222af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0222c002) TDO (0222b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0222c402) TDO (0222c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0222c802) TDO (0222c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0222cc02) TDO (0222c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0222d002) TDO (0222cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02230302) TDO (0222d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02230732) TDO (02230303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02230b82) TDO (02230733) ;
RUNTEST 1 TCK;
SDR 27 TDI (02230f82) TDO (02230b83) ;
RUNTEST 1 TCK;
SDR 27 TDI (02231382) TDO (02230f83) ;
RUNTEST 1 TCK;
SDR 27 TDI (02232302) TDO (02231383) ;
RUNTEST 1 TCK;
SDR 27 TDI (02232702) TDO (02232303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02232b3e) TDO (02232703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02232f3e) TDO (02232b3f) ;
RUNTEST 1 TCK;
SDR 27 TDI (0223303e) TDO (02232f3f) ;
RUNTEST 1 TCK;
SDR 27 TDI (02234002) TDO (0223303f) ;
RUNTEST 1 TCK;
SDR 27 TDI (02234402) TDO (02234003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0223483e) TDO (02234403) ;
RUNTEST 1 TCK;
SDR 27 TDI (02234c1e) TDO (0223483f) ;
RUNTEST 1 TCK;
SDR 27 TDI (02235006) TDO (02234c1f) ;
RUNTEST 1 TCK;
SDR 27 TDI (02238302) TDO (02235007) ;
RUNTEST 1 TCK;
SDR 27 TDI (02238702) TDO (02238303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02238b02) TDO (02238703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02238f02) TDO (02238b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02239302) TDO (02238f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0223a302) TDO (02239303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0223a702) TDO (0223a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0223ab02) TDO (0223a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0223af02) TDO (0223ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0223b002) TDO (0223af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0223c002) TDO (0223b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0223c402) TDO (0223c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0223c802) TDO (0223c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0223cc02) TDO (0223c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0223d002) TDO (0223cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0240033a) TDO (0223d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0240055e) TDO (0240033b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0240091e) TDO (0240055f) ;
RUNTEST 1 TCK;
SDR 27 TDI (02400d2a) TDO (0240091f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024011de) TDO (02400d2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0240233a) TDO (024011df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0240255e) TDO (0240233b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0240291e) TDO (0240255f) ;
RUNTEST 1 TCK;
SDR 27 TDI (02402d2a) TDO (0240291f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024031de) TDO (02402d2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0240433a) TDO (024031df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0240455e) TDO (0240433b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0240491e) TDO (0240455f) ;
RUNTEST 1 TCK;
SDR 27 TDI (02404d2a) TDO (0240491f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024051de) TDO (02404d2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0240633a) TDO (024051df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0240655e) TDO (0240633b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0240693e) TDO (0240655f) ;
RUNTEST 1 TCK;
SDR 27 TDI (02406d2a) TDO (0240693f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024071de) TDO (02406d2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0240833a) TDO (024071df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0240855e) TDO (0240833b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0240891e) TDO (0240855f) ;
RUNTEST 1 TCK;
SDR 27 TDI (02408d2a) TDO (0240891f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024091de) TDO (02408d2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0240a33a) TDO (024091df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0240a55e) TDO (0240a33b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0240a91e) TDO (0240a55f) ;
RUNTEST 1 TCK;
SDR 27 TDI (0240ad2e) TDO (0240a91f) ;
RUNTEST 1 TCK;
SDR 27 TDI (0240b1de) TDO (0240ad2f) ;
RUNTEST 1 TCK;
SDR 27 TDI (0240c33a) TDO (0240b1df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0240c55e) TDO (0240c33b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0240c91e) TDO (0240c55f) ;
RUNTEST 1 TCK;
SDR 27 TDI (0240cd2a) TDO (0240c91f) ;
RUNTEST 1 TCK;
SDR 27 TDI (0240d1de) TDO (0240cd2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0240e33a) TDO (0240d1df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0240e55e) TDO (0240e33b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0240e91e) TDO (0240e55f) ;
RUNTEST 1 TCK;
SDR 27 TDI (0240ed3a) TDO (0240e91f) ;
RUNTEST 1 TCK;
SDR 27 TDI (0240f1de) TDO (0240ed3b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0241033a) TDO (0240f1df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0241057e) TDO (0241033b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0241091e) TDO (0241057f) ;
RUNTEST 1 TCK;
SDR 27 TDI (02410d2a) TDO (0241091f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024111de) TDO (02410d2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0241233a) TDO (024111df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0241255e) TDO (0241233b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0241295e) TDO (0241255f) ;
RUNTEST 1 TCK;
SDR 27 TDI (02412d2a) TDO (0241295f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024131de) TDO (02412d2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0241433a) TDO (024131df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0241455e) TDO (0241433b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0241491e) TDO (0241455f) ;
RUNTEST 1 TCK;
SDR 27 TDI (02414d2a) TDO (0241491f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024151fe) TDO (02414d2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0241633a) TDO (024151ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (0241655e) TDO (0241633b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0241691e) TDO (0241655f) ;
RUNTEST 1 TCK;
SDR 27 TDI (02416d2a) TDO (0241691f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024171de) TDO (02416d2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0241833a) TDO (024171df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0241855e) TDO (0241833b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0241891e) TDO (0241855f) ;
RUNTEST 1 TCK;
SDR 27 TDI (02418d2a) TDO (0241891f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024191de) TDO (02418d2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0241a37a) TDO (024191df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0241a55e) TDO (0241a37b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0241a91e) TDO (0241a55f) ;
RUNTEST 1 TCK;
SDR 27 TDI (0241ad2a) TDO (0241a91f) ;
RUNTEST 1 TCK;
SDR 27 TDI (0241b1de) TDO (0241ad2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0241c33a) TDO (0241b1df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0241c55e) TDO (0241c33b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0241c91e) TDO (0241c55f) ;
RUNTEST 1 TCK;
SDR 27 TDI (0241cd6a) TDO (0241c91f) ;
RUNTEST 1 TCK;
SDR 27 TDI (0241d1de) TDO (0241cd6b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0241e3ba) TDO (0241d1df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0241e55e) TDO (0241e3bb) ;
RUNTEST 1 TCK;
SDR 27 TDI (0241e91e) TDO (0241e55f) ;
RUNTEST 1 TCK;
SDR 27 TDI (0241ed2a) TDO (0241e91f) ;
RUNTEST 1 TCK;
SDR 27 TDI (0241f1de) TDO (0241ed2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0242033a) TDO (0241f1df) ;
RUNTEST 1 TCK;
SDR 27 TDI (024205de) TDO (0242033b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0242091e) TDO (024205df) ;
RUNTEST 1 TCK;
SDR 27 TDI (02420d2a) TDO (0242091f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024211de) TDO (02420d2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0242233a) TDO (024211df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0242255e) TDO (0242233b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0242291e) TDO (0242255f) ;
RUNTEST 1 TCK;
SDR 27 TDI (02422d2a) TDO (0242291f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024231de) TDO (02422d2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0244033a) TDO (024231df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0244055e) TDO (0244033b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0244091e) TDO (0244055f) ;
RUNTEST 1 TCK;
SDR 27 TDI (02440d2a) TDO (0244091f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024411de) TDO (02440d2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0244233a) TDO (024411df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0244255e) TDO (0244233b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0244291e) TDO (0244255f) ;
RUNTEST 1 TCK;
SDR 27 TDI (02442d2a) TDO (0244291f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024431de) TDO (02442d2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0244433a) TDO (024431df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0244455e) TDO (0244433b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0244491e) TDO (0244455f) ;
RUNTEST 1 TCK;
SDR 27 TDI (02444d2a) TDO (0244491f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024451de) TDO (02444d2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0244633a) TDO (024451df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0244655e) TDO (0244633b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0244691e) TDO (0244655f) ;
RUNTEST 1 TCK;
SDR 27 TDI (02446d2a) TDO (0244691f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024471de) TDO (02446d2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0244833a) TDO (024471df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0244855e) TDO (0244833b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0244891e) TDO (0244855f) ;
RUNTEST 1 TCK;
SDR 27 TDI (02448d2a) TDO (0244891f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024491de) TDO (02448d2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0244a33a) TDO (024491df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0244a55e) TDO (0244a33b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0244a91e) TDO (0244a55f) ;
RUNTEST 1 TCK;
SDR 27 TDI (0244ad2a) TDO (0244a91f) ;
RUNTEST 1 TCK;
SDR 27 TDI (0244b1de) TDO (0244ad2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0244c33a) TDO (0244b1df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0244c55e) TDO (0244c33b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0244c91e) TDO (0244c55f) ;
RUNTEST 1 TCK;
SDR 27 TDI (0244cd2a) TDO (0244c91f) ;
RUNTEST 1 TCK;
SDR 27 TDI (0244d1de) TDO (0244cd2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0244e33a) TDO (0244d1df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0244e55e) TDO (0244e33b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0244e91e) TDO (0244e55f) ;
RUNTEST 1 TCK;
SDR 27 TDI (0244ed2a) TDO (0244e91f) ;
RUNTEST 1 TCK;
SDR 27 TDI (0244f1de) TDO (0244ed2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0245033a) TDO (0244f1df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0245055e) TDO (0245033b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0245091e) TDO (0245055f) ;
RUNTEST 1 TCK;
SDR 27 TDI (02450d2a) TDO (0245091f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024511de) TDO (02450d2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0245233a) TDO (024511df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0245255e) TDO (0245233b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0245291e) TDO (0245255f) ;
RUNTEST 1 TCK;
SDR 27 TDI (02452d2a) TDO (0245291f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024531de) TDO (02452d2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0245433a) TDO (024531df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0245455e) TDO (0245433b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0245491e) TDO (0245455f) ;
RUNTEST 1 TCK;
SDR 27 TDI (02454d2a) TDO (0245491f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024551de) TDO (02454d2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0245633a) TDO (024551df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0245655e) TDO (0245633b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0245691e) TDO (0245655f) ;
RUNTEST 1 TCK;
SDR 27 TDI (02456d2a) TDO (0245691f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024571de) TDO (02456d2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0245833a) TDO (024571df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0245855e) TDO (0245833b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0245891e) TDO (0245855f) ;
RUNTEST 1 TCK;
SDR 27 TDI (02458d2a) TDO (0245891f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024591de) TDO (02458d2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0245a33a) TDO (024591df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0245a55e) TDO (0245a33b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0245a91e) TDO (0245a55f) ;
RUNTEST 1 TCK;
SDR 27 TDI (0245ad2a) TDO (0245a91f) ;
RUNTEST 1 TCK;
SDR 27 TDI (0245b1de) TDO (0245ad2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0245c33a) TDO (0245b1df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0245c55e) TDO (0245c33b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0245c91e) TDO (0245c55f) ;
RUNTEST 1 TCK;
SDR 27 TDI (0245cd2a) TDO (0245c91f) ;
RUNTEST 1 TCK;
SDR 27 TDI (0245d1de) TDO (0245cd2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0245e33a) TDO (0245d1df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0245e55e) TDO (0245e33b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0245e91e) TDO (0245e55f) ;
RUNTEST 1 TCK;
SDR 27 TDI (0245ed2a) TDO (0245e91f) ;
RUNTEST 1 TCK;
SDR 27 TDI (0245f1de) TDO (0245ed2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0246033a) TDO (0245f1df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0246055e) TDO (0246033b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0246091e) TDO (0246055f) ;
RUNTEST 1 TCK;
SDR 27 TDI (02460d2a) TDO (0246091f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024611de) TDO (02460d2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0246233a) TDO (024611df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0246255e) TDO (0246233b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0246291e) TDO (0246255f) ;
RUNTEST 1 TCK;
SDR 27 TDI (02462d2a) TDO (0246291f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024631de) TDO (02462d2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0248033a) TDO (024631df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0248055e) TDO (0248033b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0248091e) TDO (0248055f) ;
RUNTEST 1 TCK;
SDR 27 TDI (02480d2a) TDO (0248091f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024811de) TDO (02480d2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0248233a) TDO (024811df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0248255e) TDO (0248233b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0248299e) TDO (0248255f) ;
RUNTEST 1 TCK;
SDR 27 TDI (02482d2a) TDO (0248299f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024831de) TDO (02482d2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0248433a) TDO (024831df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0248455e) TDO (0248433b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0248491e) TDO (0248455f) ;
RUNTEST 1 TCK;
SDR 27 TDI (02484daa) TDO (0248491f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024851de) TDO (02484dab) ;
RUNTEST 1 TCK;
SDR 27 TDI (0248633a) TDO (024851df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0248655e) TDO (0248633b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0248691e) TDO (0248655f) ;
RUNTEST 1 TCK;
SDR 27 TDI (02486d2a) TDO (0248691f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024871de) TDO (02486d2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0248833a) TDO (024871df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0248855e) TDO (0248833b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0248891e) TDO (0248855f) ;
RUNTEST 1 TCK;
SDR 27 TDI (02488d2a) TDO (0248891f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024891de) TDO (02488d2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0248a33a) TDO (024891df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0248a55e) TDO (0248a33b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0248a91e) TDO (0248a55f) ;
RUNTEST 1 TCK;
SDR 27 TDI (0248ad2a) TDO (0248a91f) ;
RUNTEST 1 TCK;
SDR 27 TDI (0248b1de) TDO (0248ad2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0248c33a) TDO (0248b1df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0248c55e) TDO (0248c33b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0248c91e) TDO (0248c55f) ;
RUNTEST 1 TCK;
SDR 27 TDI (0248cd2a) TDO (0248c91f) ;
RUNTEST 1 TCK;
SDR 27 TDI (0248d1de) TDO (0248cd2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0248e33a) TDO (0248d1df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0248e55e) TDO (0248e33b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0248e91e) TDO (0248e55f) ;
RUNTEST 1 TCK;
SDR 27 TDI (0248ed2a) TDO (0248e91f) ;
RUNTEST 1 TCK;
SDR 27 TDI (0248f1de) TDO (0248ed2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0249033a) TDO (0248f1df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0249055e) TDO (0249033b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0249091e) TDO (0249055f) ;
RUNTEST 1 TCK;
SDR 27 TDI (02490d2a) TDO (0249091f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024911de) TDO (02490d2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0249233a) TDO (024911df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0249255e) TDO (0249233b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0249291e) TDO (0249255f) ;
RUNTEST 1 TCK;
SDR 27 TDI (02492d2a) TDO (0249291f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024931de) TDO (02492d2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0249433a) TDO (024931df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0249455e) TDO (0249433b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0249491e) TDO (0249455f) ;
RUNTEST 1 TCK;
SDR 27 TDI (02494d2a) TDO (0249491f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024951de) TDO (02494d2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0249633a) TDO (024951df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0249655e) TDO (0249633b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0249691e) TDO (0249655f) ;
RUNTEST 1 TCK;
SDR 27 TDI (02496d2a) TDO (0249691f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024971de) TDO (02496d2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0249833a) TDO (024971df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0249855e) TDO (0249833b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0249891e) TDO (0249855f) ;
RUNTEST 1 TCK;
SDR 27 TDI (02498d2a) TDO (0249891f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024991de) TDO (02498d2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0249a33a) TDO (024991df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0249a55e) TDO (0249a33b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0249a91e) TDO (0249a55f) ;
RUNTEST 1 TCK;
SDR 27 TDI (0249ad2a) TDO (0249a91f) ;
RUNTEST 1 TCK;
SDR 27 TDI (0249b1de) TDO (0249ad2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0249c33a) TDO (0249b1df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0249c55e) TDO (0249c33b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0249c91e) TDO (0249c55f) ;
RUNTEST 1 TCK;
SDR 27 TDI (0249cd2a) TDO (0249c91f) ;
RUNTEST 1 TCK;
SDR 27 TDI (0249d1de) TDO (0249cd2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0249e33a) TDO (0249d1df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0249e55e) TDO (0249e33b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0249e91e) TDO (0249e55f) ;
RUNTEST 1 TCK;
SDR 27 TDI (0249ed2a) TDO (0249e91f) ;
RUNTEST 1 TCK;
SDR 27 TDI (0249f1de) TDO (0249ed2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (024a033e) TDO (0249f1df) ;
RUNTEST 1 TCK;
SDR 27 TDI (024a055e) TDO (024a033f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024a091e) TDO (024a055f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024a0d2a) TDO (024a091f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024a11de) TDO (024a0d2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (024a233a) TDO (024a11df) ;
RUNTEST 1 TCK;
SDR 27 TDI (024a255e) TDO (024a233b) ;
RUNTEST 1 TCK;
SDR 27 TDI (024a291e) TDO (024a255f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024a2d2a) TDO (024a291f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024a31de) TDO (024a2d2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (024c033a) TDO (024a31df) ;
RUNTEST 1 TCK;
SDR 27 TDI (024c055e) TDO (024c033b) ;
RUNTEST 1 TCK;
SDR 27 TDI (024c091e) TDO (024c055f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024c0d2a) TDO (024c091f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024c11de) TDO (024c0d2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (024c233a) TDO (024c11df) ;
RUNTEST 1 TCK;
SDR 27 TDI (024c255e) TDO (024c233b) ;
RUNTEST 1 TCK;
SDR 27 TDI (024c291e) TDO (024c255f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024c2d2a) TDO (024c291f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024c31de) TDO (024c2d2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (024c433a) TDO (024c31df) ;
RUNTEST 1 TCK;
SDR 27 TDI (024c455e) TDO (024c433b) ;
RUNTEST 1 TCK;
SDR 27 TDI (024c491e) TDO (024c455f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024c4d2a) TDO (024c491f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024c51de) TDO (024c4d2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (024c633a) TDO (024c51df) ;
RUNTEST 1 TCK;
SDR 27 TDI (024c655e) TDO (024c633b) ;
RUNTEST 1 TCK;
SDR 27 TDI (024c691e) TDO (024c655f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024c6d2a) TDO (024c691f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024c71de) TDO (024c6d2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (024c833a) TDO (024c71df) ;
RUNTEST 1 TCK;
SDR 27 TDI (024c855e) TDO (024c833b) ;
RUNTEST 1 TCK;
SDR 27 TDI (024c891e) TDO (024c855f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024c8d2a) TDO (024c891f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024c91de) TDO (024c8d2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (024ca33a) TDO (024c91df) ;
RUNTEST 1 TCK;
SDR 27 TDI (024ca55e) TDO (024ca33b) ;
RUNTEST 1 TCK;
SDR 27 TDI (024ca91e) TDO (024ca55f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024cad2a) TDO (024ca91f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024cb1de) TDO (024cad2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (024cc33a) TDO (024cb1df) ;
RUNTEST 1 TCK;
SDR 27 TDI (024cc55e) TDO (024cc33b) ;
RUNTEST 1 TCK;
SDR 27 TDI (024cc91e) TDO (024cc55f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024ccd2a) TDO (024cc91f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024cd1de) TDO (024ccd2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (024ce33a) TDO (024cd1df) ;
RUNTEST 1 TCK;
SDR 27 TDI (024ce55e) TDO (024ce33b) ;
RUNTEST 1 TCK;
SDR 27 TDI (024ce91e) TDO (024ce55f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024ced2a) TDO (024ce91f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024cf1de) TDO (024ced2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (024d033a) TDO (024cf1df) ;
RUNTEST 1 TCK;
SDR 27 TDI (024d055e) TDO (024d033b) ;
RUNTEST 1 TCK;
SDR 27 TDI (024d091e) TDO (024d055f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024d0d2a) TDO (024d091f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024d11de) TDO (024d0d2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (024d233a) TDO (024d11df) ;
RUNTEST 1 TCK;
SDR 27 TDI (024d255e) TDO (024d233b) ;
RUNTEST 1 TCK;
SDR 27 TDI (024d291e) TDO (024d255f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024d2d2a) TDO (024d291f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024d31de) TDO (024d2d2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (024d433a) TDO (024d31df) ;
RUNTEST 1 TCK;
SDR 27 TDI (024d455e) TDO (024d433b) ;
RUNTEST 1 TCK;
SDR 27 TDI (024d491e) TDO (024d455f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024d4d2a) TDO (024d491f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024d51de) TDO (024d4d2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (024d633a) TDO (024d51df) ;
RUNTEST 1 TCK;
SDR 27 TDI (024d655e) TDO (024d633b) ;
RUNTEST 1 TCK;
SDR 27 TDI (024d691e) TDO (024d655f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024d6d2a) TDO (024d691f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024d71de) TDO (024d6d2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (024d833a) TDO (024d71df) ;
RUNTEST 1 TCK;
SDR 27 TDI (024d855e) TDO (024d833b) ;
RUNTEST 1 TCK;
SDR 27 TDI (024d891e) TDO (024d855f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024d8d2a) TDO (024d891f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024d91de) TDO (024d8d2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (024da33a) TDO (024d91df) ;
RUNTEST 1 TCK;
SDR 27 TDI (024da55e) TDO (024da33b) ;
RUNTEST 1 TCK;
SDR 27 TDI (024da91e) TDO (024da55f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024dad2a) TDO (024da91f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024db1de) TDO (024dad2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (024dc33a) TDO (024db1df) ;
RUNTEST 1 TCK;
SDR 27 TDI (024dc55e) TDO (024dc33b) ;
RUNTEST 1 TCK;
SDR 27 TDI (024dc91e) TDO (024dc55f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024dcd2a) TDO (024dc91f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024dd1de) TDO (024dcd2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (024de33a) TDO (024dd1df) ;
RUNTEST 1 TCK;
SDR 27 TDI (024de55e) TDO (024de33b) ;
RUNTEST 1 TCK;
SDR 27 TDI (024de91e) TDO (024de55f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024ded2a) TDO (024de91f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024df1de) TDO (024ded2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (024e033a) TDO (024df1df) ;
RUNTEST 1 TCK;
SDR 27 TDI (024e055e) TDO (024e033b) ;
RUNTEST 1 TCK;
SDR 27 TDI (024e091e) TDO (024e055f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024e0d2a) TDO (024e091f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024e11de) TDO (024e0d2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (024e233a) TDO (024e11df) ;
RUNTEST 1 TCK;
SDR 27 TDI (024e255e) TDO (024e233b) ;
RUNTEST 1 TCK;
SDR 27 TDI (024e291e) TDO (024e255f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024e2d2a) TDO (024e291f) ;
RUNTEST 1 TCK;
SDR 27 TDI (024e31de) TDO (024e2d2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0250033a) TDO (024e31df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0250055e) TDO (0250033b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0250091e) TDO (0250055f) ;
RUNTEST 1 TCK;
SDR 27 TDI (02500d2a) TDO (0250091f) ;
RUNTEST 1 TCK;
SDR 27 TDI (025011de) TDO (02500d2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0250233a) TDO (025011df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0250255e) TDO (0250233b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0250291e) TDO (0250255f) ;
RUNTEST 1 TCK;
SDR 27 TDI (02502d2a) TDO (0250291f) ;
RUNTEST 1 TCK;
SDR 27 TDI (025031de) TDO (02502d2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0250433a) TDO (025031df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0250455e) TDO (0250433b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0250491e) TDO (0250455f) ;
RUNTEST 1 TCK;
SDR 27 TDI (02504d2a) TDO (0250491f) ;
RUNTEST 1 TCK;
SDR 27 TDI (025051de) TDO (02504d2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0250633a) TDO (025051df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0250655e) TDO (0250633b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0250691e) TDO (0250655f) ;
RUNTEST 1 TCK;
SDR 27 TDI (02506d2a) TDO (0250691f) ;
RUNTEST 1 TCK;
SDR 27 TDI (025071de) TDO (02506d2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0250833a) TDO (025071df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0250855e) TDO (0250833b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0250891e) TDO (0250855f) ;
RUNTEST 1 TCK;
SDR 27 TDI (02508d2a) TDO (0250891f) ;
RUNTEST 1 TCK;
SDR 27 TDI (025091de) TDO (02508d2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0250a33a) TDO (025091df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0250a55e) TDO (0250a33b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0250a91e) TDO (0250a55f) ;
RUNTEST 1 TCK;
SDR 27 TDI (0250ad2a) TDO (0250a91f) ;
RUNTEST 1 TCK;
SDR 27 TDI (0250b1de) TDO (0250ad2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0250c33a) TDO (0250b1df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0250c55e) TDO (0250c33b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0250c91e) TDO (0250c55f) ;
RUNTEST 1 TCK;
SDR 27 TDI (0250cd2a) TDO (0250c91f) ;
RUNTEST 1 TCK;
SDR 27 TDI (0250d1de) TDO (0250cd2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0250e33a) TDO (0250d1df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0250e55e) TDO (0250e33b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0250e91e) TDO (0250e55f) ;
RUNTEST 1 TCK;
SDR 27 TDI (0250ed2a) TDO (0250e91f) ;
RUNTEST 1 TCK;
SDR 27 TDI (0250f1de) TDO (0250ed2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0251033a) TDO (0250f1df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0251055e) TDO (0251033b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0251091e) TDO (0251055f) ;
RUNTEST 1 TCK;
SDR 27 TDI (02510d2a) TDO (0251091f) ;
RUNTEST 1 TCK;
SDR 27 TDI (025111de) TDO (02510d2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0251233a) TDO (025111df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0251255e) TDO (0251233b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0251291e) TDO (0251255f) ;
RUNTEST 1 TCK;
SDR 27 TDI (02512d2a) TDO (0251291f) ;
RUNTEST 1 TCK;
SDR 27 TDI (025131de) TDO (02512d2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0251433a) TDO (025131df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0251455e) TDO (0251433b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0251491e) TDO (0251455f) ;
RUNTEST 1 TCK;
SDR 27 TDI (02514d2a) TDO (0251491f) ;
RUNTEST 1 TCK;
SDR 27 TDI (025151de) TDO (02514d2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0251633a) TDO (025151df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0251655e) TDO (0251633b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0251691e) TDO (0251655f) ;
RUNTEST 1 TCK;
SDR 27 TDI (02516d2a) TDO (0251691f) ;
RUNTEST 1 TCK;
SDR 27 TDI (025171de) TDO (02516d2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0251833a) TDO (025171df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0251855e) TDO (0251833b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0251891e) TDO (0251855f) ;
RUNTEST 1 TCK;
SDR 27 TDI (02518d2a) TDO (0251891f) ;
RUNTEST 1 TCK;
SDR 27 TDI (025191de) TDO (02518d2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0251a33a) TDO (025191df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0251a55e) TDO (0251a33b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0251a91e) TDO (0251a55f) ;
RUNTEST 1 TCK;
SDR 27 TDI (0251ad2a) TDO (0251a91f) ;
RUNTEST 1 TCK;
SDR 27 TDI (0251b1de) TDO (0251ad2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0251c33a) TDO (0251b1df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0251c55e) TDO (0251c33b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0251c91e) TDO (0251c55f) ;
RUNTEST 1 TCK;
SDR 27 TDI (0251cd2a) TDO (0251c91f) ;
RUNTEST 1 TCK;
SDR 27 TDI (0251d1de) TDO (0251cd2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0251e33a) TDO (0251d1df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0251e55e) TDO (0251e33b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0251e91e) TDO (0251e55f) ;
RUNTEST 1 TCK;
SDR 27 TDI (0251ed2a) TDO (0251e91f) ;
RUNTEST 1 TCK;
SDR 27 TDI (0251f1de) TDO (0251ed2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0252033a) TDO (0251f1df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0252055e) TDO (0252033b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0252091e) TDO (0252055f) ;
RUNTEST 1 TCK;
SDR 27 TDI (02520d2a) TDO (0252091f) ;
RUNTEST 1 TCK;
SDR 27 TDI (025211de) TDO (02520d2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0252233a) TDO (025211df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0252255e) TDO (0252233b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0252291e) TDO (0252255f) ;
RUNTEST 1 TCK;
SDR 27 TDI (02522d2a) TDO (0252291f) ;
RUNTEST 1 TCK;
SDR 27 TDI (025231de) TDO (02522d2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0254033a) TDO (025231df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0254055e) TDO (0254033b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0254091e) TDO (0254055f) ;
RUNTEST 1 TCK;
SDR 27 TDI (02540d2a) TDO (0254091f) ;
RUNTEST 1 TCK;
SDR 27 TDI (025411de) TDO (02540d2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0254233a) TDO (025411df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0254255e) TDO (0254233b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0254291e) TDO (0254255f) ;
RUNTEST 1 TCK;
SDR 27 TDI (02542d2a) TDO (0254291f) ;
RUNTEST 1 TCK;
SDR 27 TDI (025431de) TDO (02542d2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0254433a) TDO (025431df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0254455e) TDO (0254433b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0254491e) TDO (0254455f) ;
RUNTEST 1 TCK;
SDR 27 TDI (02544d2a) TDO (0254491f) ;
RUNTEST 1 TCK;
SDR 27 TDI (025451de) TDO (02544d2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0254633a) TDO (025451df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0254655e) TDO (0254633b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0254691e) TDO (0254655f) ;
RUNTEST 1 TCK;
SDR 27 TDI (02546d2a) TDO (0254691f) ;
RUNTEST 1 TCK;
SDR 27 TDI (025471de) TDO (02546d2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0254833a) TDO (025471df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0254855e) TDO (0254833b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0254891e) TDO (0254855f) ;
RUNTEST 1 TCK;
SDR 27 TDI (02548d2a) TDO (0254891f) ;
RUNTEST 1 TCK;
SDR 27 TDI (025491de) TDO (02548d2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0254a33a) TDO (025491df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0254a55e) TDO (0254a33b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0254a91e) TDO (0254a55f) ;
RUNTEST 1 TCK;
SDR 27 TDI (0254ad2a) TDO (0254a91f) ;
RUNTEST 1 TCK;
SDR 27 TDI (0254b1de) TDO (0254ad2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0254c33a) TDO (0254b1df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0254c55e) TDO (0254c33b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0254c91e) TDO (0254c55f) ;
RUNTEST 1 TCK;
SDR 27 TDI (0254cd2a) TDO (0254c91f) ;
RUNTEST 1 TCK;
SDR 27 TDI (0254d1de) TDO (0254cd2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0254e33a) TDO (0254d1df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0254e55e) TDO (0254e33b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0254e91e) TDO (0254e55f) ;
RUNTEST 1 TCK;
SDR 27 TDI (0254ed2a) TDO (0254e91f) ;
RUNTEST 1 TCK;
SDR 27 TDI (0254f1de) TDO (0254ed2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0255033a) TDO (0254f1df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0255055e) TDO (0255033b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0255091e) TDO (0255055f) ;
RUNTEST 1 TCK;
SDR 27 TDI (02550d2a) TDO (0255091f) ;
RUNTEST 1 TCK;
SDR 27 TDI (025511de) TDO (02550d2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0255233a) TDO (025511df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0255255e) TDO (0255233b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0255291e) TDO (0255255f) ;
RUNTEST 1 TCK;
SDR 27 TDI (02552d2a) TDO (0255291f) ;
RUNTEST 1 TCK;
SDR 27 TDI (025531de) TDO (02552d2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0255433a) TDO (025531df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0255455e) TDO (0255433b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0255491e) TDO (0255455f) ;
RUNTEST 1 TCK;
SDR 27 TDI (02554d2a) TDO (0255491f) ;
RUNTEST 1 TCK;
SDR 27 TDI (025551de) TDO (02554d2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0255633a) TDO (025551df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0255655e) TDO (0255633b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0255691e) TDO (0255655f) ;
RUNTEST 1 TCK;
SDR 27 TDI (02556d2a) TDO (0255691f) ;
RUNTEST 1 TCK;
SDR 27 TDI (025571de) TDO (02556d2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0255833a) TDO (025571df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0255855e) TDO (0255833b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0255891e) TDO (0255855f) ;
RUNTEST 1 TCK;
SDR 27 TDI (02558d2a) TDO (0255891f) ;
RUNTEST 1 TCK;
SDR 27 TDI (025591de) TDO (02558d2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0255a33a) TDO (025591df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0255a55e) TDO (0255a33b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0255a91e) TDO (0255a55f) ;
RUNTEST 1 TCK;
SDR 27 TDI (0255ad2a) TDO (0255a91f) ;
RUNTEST 1 TCK;
SDR 27 TDI (0255b1de) TDO (0255ad2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0255c33a) TDO (0255b1df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0255c55e) TDO (0255c33b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0255c91e) TDO (0255c55f) ;
RUNTEST 1 TCK;
SDR 27 TDI (0255cd2a) TDO (0255c91f) ;
RUNTEST 1 TCK;
SDR 27 TDI (0255d1de) TDO (0255cd2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0255e33a) TDO (0255d1df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0255e55e) TDO (0255e33b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0255e91e) TDO (0255e55f) ;
RUNTEST 1 TCK;
SDR 27 TDI (0255ed2a) TDO (0255e91f) ;
RUNTEST 1 TCK;
SDR 27 TDI (0255f1de) TDO (0255ed2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0256033a) TDO (0255f1df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0256055e) TDO (0256033b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0256091e) TDO (0256055f) ;
RUNTEST 1 TCK;
SDR 27 TDI (02560d2a) TDO (0256091f) ;
RUNTEST 1 TCK;
SDR 27 TDI (025611de) TDO (02560d2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0256233a) TDO (025611df) ;
RUNTEST 1 TCK;
SDR 27 TDI (0256255e) TDO (0256233b) ;
RUNTEST 1 TCK;
SDR 27 TDI (0256291e) TDO (0256255f) ;
RUNTEST 1 TCK;
SDR 27 TDI (02562d2a) TDO (0256291f) ;
RUNTEST 1 TCK;
SDR 27 TDI (025631de) TDO (02562d2b) ;
RUNTEST 1 TCK;
SDR 27 TDI (02800302) TDO (025631df) ;
RUNTEST 1 TCK;
SDR 27 TDI (02800702) TDO (02800303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02800b02) TDO (02800703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02800f02) TDO (02800b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02801302) TDO (02800f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02802302) TDO (02801303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02802702) TDO (02802303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02802b02) TDO (02802703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02802f02) TDO (02802b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02803002) TDO (02802f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02804002) TDO (02803003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02804402) TDO (02804003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02804802) TDO (02804403) ;
RUNTEST 1 TCK;
SDR 27 TDI (02804c02) TDO (02804803) ;
RUNTEST 1 TCK;
SDR 27 TDI (02805002) TDO (02804c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02808302) TDO (02805003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02808702) TDO (02808303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02808b02) TDO (02808703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02808f02) TDO (02808b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02809302) TDO (02808f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0280a302) TDO (02809303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0280a702) TDO (0280a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0280ab02) TDO (0280a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0280af02) TDO (0280ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0280b002) TDO (0280af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0280c002) TDO (0280b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0280c402) TDO (0280c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0280c802) TDO (0280c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0280cc02) TDO (0280c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0280d002) TDO (0280cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02810302) TDO (0280d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02810702) TDO (02810303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02810b02) TDO (02810703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02810f02) TDO (02810b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02811302) TDO (02810f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02812302) TDO (02811303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02812702) TDO (02812303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02812b02) TDO (02812703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02812f02) TDO (02812b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02813002) TDO (02812f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02814002) TDO (02813003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02814402) TDO (02814003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02814802) TDO (02814403) ;
RUNTEST 1 TCK;
SDR 27 TDI (02814c02) TDO (02814803) ;
RUNTEST 1 TCK;
SDR 27 TDI (02815002) TDO (02814c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02818302) TDO (02815003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02818702) TDO (02818303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02818b02) TDO (02818703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02818f02) TDO (02818b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02819302) TDO (02818f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0281a302) TDO (02819303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0281a702) TDO (0281a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0281ab02) TDO (0281a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0281af02) TDO (0281ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0281b002) TDO (0281af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0281c002) TDO (0281b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0281c402) TDO (0281c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0281c802) TDO (0281c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0281cc02) TDO (0281c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0281d002) TDO (0281cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02820302) TDO (0281d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02820702) TDO (02820303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02820b02) TDO (02820703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02820f02) TDO (02820b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02821302) TDO (02820f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02822302) TDO (02821303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02822702) TDO (02822303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02822b02) TDO (02822703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02822f02) TDO (02822b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02823002) TDO (02822f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02824002) TDO (02823003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02824402) TDO (02824003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02824802) TDO (02824403) ;
RUNTEST 1 TCK;
SDR 27 TDI (02824c02) TDO (02824803) ;
RUNTEST 1 TCK;
SDR 27 TDI (02825002) TDO (02824c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02828302) TDO (02825003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02828702) TDO (02828303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02828b02) TDO (02828703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02828f02) TDO (02828b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02829302) TDO (02828f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0282a302) TDO (02829303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0282a702) TDO (0282a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0282ab02) TDO (0282a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0282af02) TDO (0282ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0282b002) TDO (0282af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0282c002) TDO (0282b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0282c402) TDO (0282c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0282c802) TDO (0282c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0282cc02) TDO (0282c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0282d002) TDO (0282cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02830302) TDO (0282d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02830702) TDO (02830303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02830b02) TDO (02830703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02830f02) TDO (02830b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02831302) TDO (02830f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02832302) TDO (02831303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02832702) TDO (02832303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02832b02) TDO (02832703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02832f02) TDO (02832b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02833002) TDO (02832f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02834002) TDO (02833003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02834402) TDO (02834003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02834802) TDO (02834403) ;
RUNTEST 1 TCK;
SDR 27 TDI (02834c02) TDO (02834803) ;
RUNTEST 1 TCK;
SDR 27 TDI (02835002) TDO (02834c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02838302) TDO (02835003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02838702) TDO (02838303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02838b02) TDO (02838703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02838f02) TDO (02838b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02839302) TDO (02838f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0283a302) TDO (02839303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0283a702) TDO (0283a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0283ab02) TDO (0283a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0283af02) TDO (0283ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0283b002) TDO (0283af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0283c002) TDO (0283b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0283c402) TDO (0283c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0283c802) TDO (0283c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0283cc02) TDO (0283c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0283d002) TDO (0283cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02840302) TDO (0283d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02840702) TDO (02840303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02840b02) TDO (02840703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02840f02) TDO (02840b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02841302) TDO (02840f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02842302) TDO (02841303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02842702) TDO (02842303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02842b02) TDO (02842703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02842f02) TDO (02842b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02843002) TDO (02842f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02844002) TDO (02843003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02844402) TDO (02844003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02844802) TDO (02844403) ;
RUNTEST 1 TCK;
SDR 27 TDI (02844c02) TDO (02844803) ;
RUNTEST 1 TCK;
SDR 27 TDI (02845002) TDO (02844c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02848302) TDO (02845003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02848702) TDO (02848303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02848b02) TDO (02848703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02848f02) TDO (02848b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02849302) TDO (02848f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0284a302) TDO (02849303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0284a702) TDO (0284a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0284ab02) TDO (0284a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0284af02) TDO (0284ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0284b002) TDO (0284af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0284c002) TDO (0284b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0284c402) TDO (0284c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0284c802) TDO (0284c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0284cc02) TDO (0284c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0284d002) TDO (0284cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02850302) TDO (0284d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02850702) TDO (02850303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02850b02) TDO (02850703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02850f02) TDO (02850b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02851302) TDO (02850f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02852302) TDO (02851303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02852702) TDO (02852303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02852b02) TDO (02852703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02852f02) TDO (02852b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02853002) TDO (02852f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02854002) TDO (02853003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02854402) TDO (02854003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02854802) TDO (02854403) ;
RUNTEST 1 TCK;
SDR 27 TDI (02854c02) TDO (02854803) ;
RUNTEST 1 TCK;
SDR 27 TDI (02855002) TDO (02854c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02858302) TDO (02855003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02858702) TDO (02858303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02858b02) TDO (02858703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02858f02) TDO (02858b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02859302) TDO (02858f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0285a302) TDO (02859303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0285a702) TDO (0285a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0285ab02) TDO (0285a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0285af02) TDO (0285ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0285b002) TDO (0285af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0285c002) TDO (0285b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0285c402) TDO (0285c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0285c802) TDO (0285c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0285cc02) TDO (0285c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0285d002) TDO (0285cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02860302) TDO (0285d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02860702) TDO (02860303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02860b02) TDO (02860703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02860f02) TDO (02860b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02861302) TDO (02860f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02862302) TDO (02861303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02862702) TDO (02862303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02862b02) TDO (02862703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02862f02) TDO (02862b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02863002) TDO (02862f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02864002) TDO (02863003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02864402) TDO (02864003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02864802) TDO (02864403) ;
RUNTEST 1 TCK;
SDR 27 TDI (02864c02) TDO (02864803) ;
RUNTEST 1 TCK;
SDR 27 TDI (02865002) TDO (02864c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02868302) TDO (02865003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02868702) TDO (02868303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02868b02) TDO (02868703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02868f02) TDO (02868b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02869302) TDO (02868f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0286a302) TDO (02869303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0286a702) TDO (0286a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0286ab02) TDO (0286a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0286af02) TDO (0286ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0286b002) TDO (0286af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0286c002) TDO (0286b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0286c402) TDO (0286c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0286c802) TDO (0286c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0286cc02) TDO (0286c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0286d002) TDO (0286cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02870302) TDO (0286d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02870702) TDO (02870303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02870b02) TDO (02870703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02870f02) TDO (02870b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02871302) TDO (02870f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02872302) TDO (02871303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02872702) TDO (02872303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02872b02) TDO (02872703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02872f02) TDO (02872b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02873002) TDO (02872f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02874002) TDO (02873003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02874402) TDO (02874003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02874802) TDO (02874403) ;
RUNTEST 1 TCK;
SDR 27 TDI (02874c02) TDO (02874803) ;
RUNTEST 1 TCK;
SDR 27 TDI (02875002) TDO (02874c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02878302) TDO (02875003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02878702) TDO (02878303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02878b02) TDO (02878703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02878f02) TDO (02878b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02879302) TDO (02878f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0287a302) TDO (02879303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0287a702) TDO (0287a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0287ab02) TDO (0287a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0287af02) TDO (0287ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0287b002) TDO (0287af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0287c002) TDO (0287b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0287c402) TDO (0287c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0287c802) TDO (0287c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0287cc02) TDO (0287c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0287d002) TDO (0287cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02880302) TDO (0287d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02880702) TDO (02880303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02880b02) TDO (02880703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02880f02) TDO (02880b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02881302) TDO (02880f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02882302) TDO (02881303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02882702) TDO (02882303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02882b02) TDO (02882703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02882f02) TDO (02882b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02883002) TDO (02882f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02884002) TDO (02883003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02884402) TDO (02884003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02884802) TDO (02884403) ;
RUNTEST 1 TCK;
SDR 27 TDI (02884c02) TDO (02884803) ;
RUNTEST 1 TCK;
SDR 27 TDI (02885002) TDO (02884c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02888302) TDO (02885003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02888702) TDO (02888303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02888b02) TDO (02888703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02888f02) TDO (02888b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02889302) TDO (02888f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0288a302) TDO (02889303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0288a702) TDO (0288a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0288ab02) TDO (0288a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0288af02) TDO (0288ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0288b002) TDO (0288af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0288c002) TDO (0288b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0288c402) TDO (0288c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0288c802) TDO (0288c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0288cc02) TDO (0288c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0288d002) TDO (0288cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02890302) TDO (0288d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02890702) TDO (02890303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02890b02) TDO (02890703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02890f02) TDO (02890b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02891302) TDO (02890f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02892302) TDO (02891303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02892702) TDO (02892303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02892b02) TDO (02892703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02892f02) TDO (02892b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02893002) TDO (02892f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02894002) TDO (02893003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02894402) TDO (02894003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02894802) TDO (02894403) ;
RUNTEST 1 TCK;
SDR 27 TDI (02894c02) TDO (02894803) ;
RUNTEST 1 TCK;
SDR 27 TDI (02895002) TDO (02894c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02898302) TDO (02895003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02898702) TDO (02898303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02898b02) TDO (02898703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02898f02) TDO (02898b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02899302) TDO (02898f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0289a302) TDO (02899303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0289a702) TDO (0289a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0289ab02) TDO (0289a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0289af02) TDO (0289ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0289b002) TDO (0289af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0289c002) TDO (0289b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0289c402) TDO (0289c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0289c802) TDO (0289c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0289cc02) TDO (0289c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0289d002) TDO (0289cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (028a0302) TDO (0289d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (028a0702) TDO (028a0303) ;
RUNTEST 1 TCK;
SDR 27 TDI (028a0b02) TDO (028a0703) ;
RUNTEST 1 TCK;
SDR 27 TDI (028a0f02) TDO (028a0b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (028a1302) TDO (028a0f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (028a2302) TDO (028a1303) ;
RUNTEST 1 TCK;
SDR 27 TDI (028a2702) TDO (028a2303) ;
RUNTEST 1 TCK;
SDR 27 TDI (028a2b02) TDO (028a2703) ;
RUNTEST 1 TCK;
SDR 27 TDI (028a2f02) TDO (028a2b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (028a3002) TDO (028a2f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (028a4002) TDO (028a3003) ;
RUNTEST 1 TCK;
SDR 27 TDI (028a4402) TDO (028a4003) ;
RUNTEST 1 TCK;
SDR 27 TDI (028a4802) TDO (028a4403) ;
RUNTEST 1 TCK;
SDR 27 TDI (028a4c02) TDO (028a4803) ;
RUNTEST 1 TCK;
SDR 27 TDI (028a5002) TDO (028a4c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (028a8302) TDO (028a5003) ;
RUNTEST 1 TCK;
SDR 27 TDI (028a8702) TDO (028a8303) ;
RUNTEST 1 TCK;
SDR 27 TDI (028a8b02) TDO (028a8703) ;
RUNTEST 1 TCK;
SDR 27 TDI (028a8f02) TDO (028a8b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (028a9302) TDO (028a8f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (028aa302) TDO (028a9303) ;
RUNTEST 1 TCK;
SDR 27 TDI (028aa702) TDO (028aa303) ;
RUNTEST 1 TCK;
SDR 27 TDI (028aab02) TDO (028aa703) ;
RUNTEST 1 TCK;
SDR 27 TDI (028aaf02) TDO (028aab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (028ab002) TDO (028aaf03) ;
RUNTEST 1 TCK;
SDR 27 TDI (028ac002) TDO (028ab003) ;
RUNTEST 1 TCK;
SDR 27 TDI (028ac402) TDO (028ac003) ;
RUNTEST 1 TCK;
SDR 27 TDI (028ac802) TDO (028ac403) ;
RUNTEST 1 TCK;
SDR 27 TDI (028acc02) TDO (028ac803) ;
RUNTEST 1 TCK;
SDR 27 TDI (028ad002) TDO (028acc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (028b0302) TDO (028ad003) ;
RUNTEST 1 TCK;
SDR 27 TDI (028b0702) TDO (028b0303) ;
RUNTEST 1 TCK;
SDR 27 TDI (028b0b02) TDO (028b0703) ;
RUNTEST 1 TCK;
SDR 27 TDI (028b0f02) TDO (028b0b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (028b1302) TDO (028b0f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (028b2302) TDO (028b1303) ;
RUNTEST 1 TCK;
SDR 27 TDI (028b2702) TDO (028b2303) ;
RUNTEST 1 TCK;
SDR 27 TDI (028b2b02) TDO (028b2703) ;
RUNTEST 1 TCK;
SDR 27 TDI (028b2f02) TDO (028b2b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (028b3002) TDO (028b2f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (028b4002) TDO (028b3003) ;
RUNTEST 1 TCK;
SDR 27 TDI (028b4402) TDO (028b4003) ;
RUNTEST 1 TCK;
SDR 27 TDI (028b4802) TDO (028b4403) ;
RUNTEST 1 TCK;
SDR 27 TDI (028b4c02) TDO (028b4803) ;
RUNTEST 1 TCK;
SDR 27 TDI (028b5002) TDO (028b4c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (028b8302) TDO (028b5003) ;
RUNTEST 1 TCK;
SDR 27 TDI (028b8702) TDO (028b8303) ;
RUNTEST 1 TCK;
SDR 27 TDI (028b8b02) TDO (028b8703) ;
RUNTEST 1 TCK;
SDR 27 TDI (028b8f02) TDO (028b8b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (028b9302) TDO (028b8f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (028ba302) TDO (028b9303) ;
RUNTEST 1 TCK;
SDR 27 TDI (028ba702) TDO (028ba303) ;
RUNTEST 1 TCK;
SDR 27 TDI (028bab02) TDO (028ba703) ;
RUNTEST 1 TCK;
SDR 27 TDI (028baf02) TDO (028bab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (028bb002) TDO (028baf03) ;
RUNTEST 1 TCK;
SDR 27 TDI (028bc002) TDO (028bb003) ;
RUNTEST 1 TCK;
SDR 27 TDI (028bc402) TDO (028bc003) ;
RUNTEST 1 TCK;
SDR 27 TDI (028bc802) TDO (028bc403) ;
RUNTEST 1 TCK;
SDR 27 TDI (028bcc02) TDO (028bc803) ;
RUNTEST 1 TCK;
SDR 27 TDI (028bd002) TDO (028bcc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (028c0302) TDO (028bd003) ;
RUNTEST 1 TCK;
SDR 27 TDI (028c0702) TDO (028c0303) ;
RUNTEST 1 TCK;
SDR 27 TDI (028c0b02) TDO (028c0703) ;
RUNTEST 1 TCK;
SDR 27 TDI (028c0f02) TDO (028c0b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (028c1302) TDO (028c0f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (028c2302) TDO (028c1303) ;
RUNTEST 1 TCK;
SDR 27 TDI (028c2702) TDO (028c2303) ;
RUNTEST 1 TCK;
SDR 27 TDI (028c2b02) TDO (028c2703) ;
RUNTEST 1 TCK;
SDR 27 TDI (028c2f02) TDO (028c2b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (028c3002) TDO (028c2f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (028c4002) TDO (028c3003) ;
RUNTEST 1 TCK;
SDR 27 TDI (028c4402) TDO (028c4003) ;
RUNTEST 1 TCK;
SDR 27 TDI (028c4802) TDO (028c4403) ;
RUNTEST 1 TCK;
SDR 27 TDI (028c4c02) TDO (028c4803) ;
RUNTEST 1 TCK;
SDR 27 TDI (028c5002) TDO (028c4c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (028c8302) TDO (028c5003) ;
RUNTEST 1 TCK;
SDR 27 TDI (028c8702) TDO (028c8303) ;
RUNTEST 1 TCK;
SDR 27 TDI (028c8b02) TDO (028c8703) ;
RUNTEST 1 TCK;
SDR 27 TDI (028c8f02) TDO (028c8b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (028c9302) TDO (028c8f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (028ca302) TDO (028c9303) ;
RUNTEST 1 TCK;
SDR 27 TDI (028ca702) TDO (028ca303) ;
RUNTEST 1 TCK;
SDR 27 TDI (028cab02) TDO (028ca703) ;
RUNTEST 1 TCK;
SDR 27 TDI (028caf02) TDO (028cab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (028cb002) TDO (028caf03) ;
RUNTEST 1 TCK;
SDR 27 TDI (028cc002) TDO (028cb003) ;
RUNTEST 1 TCK;
SDR 27 TDI (028cc402) TDO (028cc003) ;
RUNTEST 1 TCK;
SDR 27 TDI (028cc802) TDO (028cc403) ;
RUNTEST 1 TCK;
SDR 27 TDI (028ccc02) TDO (028cc803) ;
RUNTEST 1 TCK;
SDR 27 TDI (028cd002) TDO (028ccc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (028d0302) TDO (028cd003) ;
RUNTEST 1 TCK;
SDR 27 TDI (028d0602) TDO (028d0303) ;
RUNTEST 1 TCK;
SDR 27 TDI (028d0b02) TDO (028d0603) ;
RUNTEST 1 TCK;
SDR 27 TDI (028d0f02) TDO (028d0b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (028d1302) TDO (028d0f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (028d2302) TDO (028d1303) ;
RUNTEST 1 TCK;
SDR 27 TDI (028d2702) TDO (028d2303) ;
RUNTEST 1 TCK;
SDR 27 TDI (028d2b02) TDO (028d2703) ;
RUNTEST 1 TCK;
SDR 27 TDI (028d2f02) TDO (028d2b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (028d3002) TDO (028d2f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (028d4002) TDO (028d3003) ;
RUNTEST 1 TCK;
SDR 27 TDI (028d4402) TDO (028d4003) ;
RUNTEST 1 TCK;
SDR 27 TDI (028d4802) TDO (028d4403) ;
RUNTEST 1 TCK;
SDR 27 TDI (028d4c02) TDO (028d4803) ;
RUNTEST 1 TCK;
SDR 27 TDI (028d5002) TDO (028d4c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (028d8302) TDO (028d5003) ;
RUNTEST 1 TCK;
SDR 27 TDI (028d8602) TDO (028d8303) ;
RUNTEST 1 TCK;
SDR 27 TDI (028d8b02) TDO (028d8603) ;
RUNTEST 1 TCK;
SDR 27 TDI (028d8f02) TDO (028d8b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (028d9302) TDO (028d8f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (028da302) TDO (028d9303) ;
RUNTEST 1 TCK;
SDR 27 TDI (028da702) TDO (028da303) ;
RUNTEST 1 TCK;
SDR 27 TDI (028dab02) TDO (028da703) ;
RUNTEST 1 TCK;
SDR 27 TDI (028daf02) TDO (028dab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (028db002) TDO (028daf03) ;
RUNTEST 1 TCK;
SDR 27 TDI (028dc002) TDO (028db003) ;
RUNTEST 1 TCK;
SDR 27 TDI (028dc402) TDO (028dc003) ;
RUNTEST 1 TCK;
SDR 27 TDI (028dc802) TDO (028dc403) ;
RUNTEST 1 TCK;
SDR 27 TDI (028dcc02) TDO (028dc803) ;
RUNTEST 1 TCK;
SDR 27 TDI (028dd002) TDO (028dcc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (028e0302) TDO (028dd003) ;
RUNTEST 1 TCK;
SDR 27 TDI (028e0702) TDO (028e0303) ;
RUNTEST 1 TCK;
SDR 27 TDI (028e0b02) TDO (028e0703) ;
RUNTEST 1 TCK;
SDR 27 TDI (028e0f02) TDO (028e0b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (028e1302) TDO (028e0f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (028e2302) TDO (028e1303) ;
RUNTEST 1 TCK;
SDR 27 TDI (028e2702) TDO (028e2303) ;
RUNTEST 1 TCK;
SDR 27 TDI (028e2b02) TDO (028e2703) ;
RUNTEST 1 TCK;
SDR 27 TDI (028e2f02) TDO (028e2b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (028e3002) TDO (028e2f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (028e4002) TDO (028e3003) ;
RUNTEST 1 TCK;
SDR 27 TDI (028e4402) TDO (028e4003) ;
RUNTEST 1 TCK;
SDR 27 TDI (028e4802) TDO (028e4403) ;
RUNTEST 1 TCK;
SDR 27 TDI (028e4c02) TDO (028e4803) ;
RUNTEST 1 TCK;
SDR 27 TDI (028e5002) TDO (028e4c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (028e8302) TDO (028e5003) ;
RUNTEST 1 TCK;
SDR 27 TDI (028e8702) TDO (028e8303) ;
RUNTEST 1 TCK;
SDR 27 TDI (028e8b02) TDO (028e8703) ;
RUNTEST 1 TCK;
SDR 27 TDI (028e8f02) TDO (028e8b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (028e9302) TDO (028e8f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (028ea302) TDO (028e9303) ;
RUNTEST 1 TCK;
SDR 27 TDI (028ea702) TDO (028ea303) ;
RUNTEST 1 TCK;
SDR 27 TDI (028eab02) TDO (028ea703) ;
RUNTEST 1 TCK;
SDR 27 TDI (028eaf02) TDO (028eab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (028eb002) TDO (028eaf03) ;
RUNTEST 1 TCK;
SDR 27 TDI (028ec002) TDO (028eb003) ;
RUNTEST 1 TCK;
SDR 27 TDI (028ec402) TDO (028ec003) ;
RUNTEST 1 TCK;
SDR 27 TDI (028ec802) TDO (028ec403) ;
RUNTEST 1 TCK;
SDR 27 TDI (028ecc02) TDO (028ec803) ;
RUNTEST 1 TCK;
SDR 27 TDI (028ed002) TDO (028ecc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (028f0302) TDO (028ed003) ;
RUNTEST 1 TCK;
SDR 27 TDI (028f0702) TDO (028f0303) ;
RUNTEST 1 TCK;
SDR 27 TDI (028f0b02) TDO (028f0703) ;
RUNTEST 1 TCK;
SDR 27 TDI (028f0f02) TDO (028f0b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (028f1302) TDO (028f0f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (028f2302) TDO (028f1303) ;
RUNTEST 1 TCK;
SDR 27 TDI (028f2702) TDO (028f2303) ;
RUNTEST 1 TCK;
SDR 27 TDI (028f2b02) TDO (028f2703) ;
RUNTEST 1 TCK;
SDR 27 TDI (028f2f02) TDO (028f2b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (028f3002) TDO (028f2f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (028f4002) TDO (028f3003) ;
RUNTEST 1 TCK;
SDR 27 TDI (028f4402) TDO (028f4003) ;
RUNTEST 1 TCK;
SDR 27 TDI (028f4802) TDO (028f4403) ;
RUNTEST 1 TCK;
SDR 27 TDI (028f4c02) TDO (028f4803) ;
RUNTEST 1 TCK;
SDR 27 TDI (028f5002) TDO (028f4c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (028f8302) TDO (028f5003) ;
RUNTEST 1 TCK;
SDR 27 TDI (028f8702) TDO (028f8303) ;
RUNTEST 1 TCK;
SDR 27 TDI (028f8b02) TDO (028f8703) ;
RUNTEST 1 TCK;
SDR 27 TDI (028f8f02) TDO (028f8b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (028f9302) TDO (028f8f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (028fa302) TDO (028f9303) ;
RUNTEST 1 TCK;
SDR 27 TDI (028fa702) TDO (028fa303) ;
RUNTEST 1 TCK;
SDR 27 TDI (028fab02) TDO (028fa703) ;
RUNTEST 1 TCK;
SDR 27 TDI (028faf02) TDO (028fab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (028fb002) TDO (028faf03) ;
RUNTEST 1 TCK;
SDR 27 TDI (028fc002) TDO (028fb003) ;
RUNTEST 1 TCK;
SDR 27 TDI (028fc402) TDO (028fc003) ;
RUNTEST 1 TCK;
SDR 27 TDI (028fc802) TDO (028fc403) ;
RUNTEST 1 TCK;
SDR 27 TDI (028fcc02) TDO (028fc803) ;
RUNTEST 1 TCK;
SDR 27 TDI (028fd002) TDO (028fcc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02900302) TDO (028fd003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02900702) TDO (02900303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02900b02) TDO (02900703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02900f02) TDO (02900b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02901302) TDO (02900f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02902302) TDO (02901303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02902702) TDO (02902303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02902b02) TDO (02902703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02902f02) TDO (02902b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02903002) TDO (02902f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02904002) TDO (02903003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02904402) TDO (02904003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02904802) TDO (02904403) ;
RUNTEST 1 TCK;
SDR 27 TDI (02904c02) TDO (02904803) ;
RUNTEST 1 TCK;
SDR 27 TDI (02905002) TDO (02904c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02908302) TDO (02905003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02908702) TDO (02908303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02908b02) TDO (02908703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02908f02) TDO (02908b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02909302) TDO (02908f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0290a302) TDO (02909303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0290a702) TDO (0290a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0290ab02) TDO (0290a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0290af02) TDO (0290ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0290b002) TDO (0290af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0290c002) TDO (0290b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0290c402) TDO (0290c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0290c802) TDO (0290c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0290cc02) TDO (0290c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0290d002) TDO (0290cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02910302) TDO (0290d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02910702) TDO (02910303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02910b02) TDO (02910703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02910f02) TDO (02910b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02911302) TDO (02910f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02912302) TDO (02911303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02912702) TDO (02912303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02912b02) TDO (02912703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02912f02) TDO (02912b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02913002) TDO (02912f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02914002) TDO (02913003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02914402) TDO (02914003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02914802) TDO (02914403) ;
RUNTEST 1 TCK;
SDR 27 TDI (02914c02) TDO (02914803) ;
RUNTEST 1 TCK;
SDR 27 TDI (02915002) TDO (02914c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02918002) TDO (02915003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02918402) TDO (02918003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02918802) TDO (02918403) ;
RUNTEST 1 TCK;
SDR 27 TDI (02918c02) TDO (02918803) ;
RUNTEST 1 TCK;
SDR 27 TDI (02919002) TDO (02918c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0291a002) TDO (02919003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0291a402) TDO (0291a003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0291a802) TDO (0291a403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0291ac02) TDO (0291a803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0291b002) TDO (0291ac03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0291c002) TDO (0291b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0291c402) TDO (0291c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0291c802) TDO (0291c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0291cc02) TDO (0291c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0291d002) TDO (0291cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02920302) TDO (0291d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02920702) TDO (02920303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02920b02) TDO (02920703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02920f02) TDO (02920b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02921302) TDO (02920f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02922302) TDO (02921303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02922702) TDO (02922303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02922b02) TDO (02922703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02922f02) TDO (02922b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02923002) TDO (02922f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02924002) TDO (02923003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02924402) TDO (02924003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02924802) TDO (02924403) ;
RUNTEST 1 TCK;
SDR 27 TDI (02924c02) TDO (02924803) ;
RUNTEST 1 TCK;
SDR 27 TDI (02925002) TDO (02924c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02928302) TDO (02925003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02928702) TDO (02928303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02928b02) TDO (02928703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02928f02) TDO (02928b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02929302) TDO (02928f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0292a302) TDO (02929303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0292a702) TDO (0292a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0292ab02) TDO (0292a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0292af02) TDO (0292ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0292b002) TDO (0292af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0292c002) TDO (0292b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0292c402) TDO (0292c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0292c802) TDO (0292c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0292cc02) TDO (0292c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0292d002) TDO (0292cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02930302) TDO (0292d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02930702) TDO (02930303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02930b02) TDO (02930703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02930f02) TDO (02930b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02931302) TDO (02930f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02932302) TDO (02931303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02932702) TDO (02932303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02932b02) TDO (02932703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02932f02) TDO (02932b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02933002) TDO (02932f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02934002) TDO (02933003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02934402) TDO (02934003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02934802) TDO (02934403) ;
RUNTEST 1 TCK;
SDR 27 TDI (02934c02) TDO (02934803) ;
RUNTEST 1 TCK;
SDR 27 TDI (02935002) TDO (02934c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02938302) TDO (02935003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02938702) TDO (02938303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02938b02) TDO (02938703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02938f02) TDO (02938b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02939302) TDO (02938f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0293a302) TDO (02939303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0293a702) TDO (0293a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0293ab02) TDO (0293a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0293af02) TDO (0293ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0293b002) TDO (0293af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0293c002) TDO (0293b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0293c402) TDO (0293c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0293c802) TDO (0293c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0293cc02) TDO (0293c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0293d002) TDO (0293cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02940302) TDO (0293d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02940702) TDO (02940303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02940b02) TDO (02940703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02940f02) TDO (02940b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02941302) TDO (02940f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02942302) TDO (02941303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02942702) TDO (02942303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02942b02) TDO (02942703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02942f02) TDO (02942b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02943002) TDO (02942f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02944002) TDO (02943003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02944402) TDO (02944003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02944802) TDO (02944403) ;
RUNTEST 1 TCK;
SDR 27 TDI (02944c02) TDO (02944803) ;
RUNTEST 1 TCK;
SDR 27 TDI (02945002) TDO (02944c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02948302) TDO (02945003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02948702) TDO (02948303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02948b02) TDO (02948703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02948f02) TDO (02948b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02949302) TDO (02948f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0294a302) TDO (02949303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0294a702) TDO (0294a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0294ab02) TDO (0294a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0294af02) TDO (0294ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0294b002) TDO (0294af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0294c002) TDO (0294b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0294c402) TDO (0294c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0294c802) TDO (0294c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0294cc02) TDO (0294c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0294d002) TDO (0294cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02950302) TDO (0294d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02950702) TDO (02950303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02950b02) TDO (02950703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02950f02) TDO (02950b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02951302) TDO (02950f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02952302) TDO (02951303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02952702) TDO (02952303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02952b02) TDO (02952703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02952f02) TDO (02952b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02953002) TDO (02952f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02954002) TDO (02953003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02954402) TDO (02954003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02954802) TDO (02954403) ;
RUNTEST 1 TCK;
SDR 27 TDI (02954c02) TDO (02954803) ;
RUNTEST 1 TCK;
SDR 27 TDI (02955002) TDO (02954c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02958302) TDO (02955003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02958702) TDO (02958303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02958b02) TDO (02958703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02958f02) TDO (02958b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02959302) TDO (02958f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0295a302) TDO (02959303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0295a702) TDO (0295a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0295ab02) TDO (0295a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0295af02) TDO (0295ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0295b002) TDO (0295af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0295c002) TDO (0295b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0295c402) TDO (0295c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0295c802) TDO (0295c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0295cc02) TDO (0295c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0295d002) TDO (0295cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02960002) TDO (0295d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02960402) TDO (02960003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02960802) TDO (02960403) ;
RUNTEST 1 TCK;
SDR 27 TDI (02960c02) TDO (02960803) ;
RUNTEST 1 TCK;
SDR 27 TDI (02961002) TDO (02960c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02962002) TDO (02961003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02962402) TDO (02962003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02962802) TDO (02962403) ;
RUNTEST 1 TCK;
SDR 27 TDI (02962c02) TDO (02962803) ;
RUNTEST 1 TCK;
SDR 27 TDI (02963002) TDO (02962c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02964002) TDO (02963003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02964402) TDO (02964003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02964802) TDO (02964403) ;
RUNTEST 1 TCK;
SDR 27 TDI (02964c02) TDO (02964803) ;
RUNTEST 1 TCK;
SDR 27 TDI (02965002) TDO (02964c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02968302) TDO (02965003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02968702) TDO (02968303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02968b02) TDO (02968703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02968f02) TDO (02968b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02969302) TDO (02968f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0296a302) TDO (02969303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0296a702) TDO (0296a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0296ab02) TDO (0296a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0296af02) TDO (0296ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0296b002) TDO (0296af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0296c002) TDO (0296b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0296c402) TDO (0296c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0296c802) TDO (0296c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0296cc02) TDO (0296c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0296d002) TDO (0296cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02970302) TDO (0296d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02970702) TDO (02970303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02970b02) TDO (02970703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02970f02) TDO (02970b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02971302) TDO (02970f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02972302) TDO (02971303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02972702) TDO (02972303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02972b02) TDO (02972703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02972f02) TDO (02972b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02973002) TDO (02972f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02974002) TDO (02973003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02974402) TDO (02974003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02974802) TDO (02974403) ;
RUNTEST 1 TCK;
SDR 27 TDI (02974c02) TDO (02974803) ;
RUNTEST 1 TCK;
SDR 27 TDI (02975002) TDO (02974c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02978302) TDO (02975003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02978702) TDO (02978303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02978b02) TDO (02978703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02978f02) TDO (02978b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02979302) TDO (02978f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0297a302) TDO (02979303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0297a702) TDO (0297a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0297ab02) TDO (0297a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0297af02) TDO (0297ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0297b002) TDO (0297af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0297c002) TDO (0297b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0297c402) TDO (0297c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0297c802) TDO (0297c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0297cc02) TDO (0297c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0297d002) TDO (0297cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02980302) TDO (0297d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02980702) TDO (02980303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02980b02) TDO (02980703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02980f02) TDO (02980b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02981302) TDO (02980f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02982302) TDO (02981303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02982702) TDO (02982303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02982b02) TDO (02982703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02982f02) TDO (02982b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02983002) TDO (02982f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02984002) TDO (02983003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02984402) TDO (02984003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02984802) TDO (02984403) ;
RUNTEST 1 TCK;
SDR 27 TDI (02984c02) TDO (02984803) ;
RUNTEST 1 TCK;
SDR 27 TDI (02985002) TDO (02984c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02988302) TDO (02985003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02988602) TDO (02988303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02988b02) TDO (02988603) ;
RUNTEST 1 TCK;
SDR 27 TDI (02988f02) TDO (02988b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02989302) TDO (02988f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0298a302) TDO (02989303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0298a702) TDO (0298a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0298ab02) TDO (0298a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0298af02) TDO (0298ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0298b002) TDO (0298af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0298c002) TDO (0298b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0298c402) TDO (0298c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0298c802) TDO (0298c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0298cc02) TDO (0298c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0298d002) TDO (0298cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02990302) TDO (0298d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02990702) TDO (02990303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02990b02) TDO (02990703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02990f02) TDO (02990b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02991302) TDO (02990f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02992302) TDO (02991303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02992702) TDO (02992303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02992b02) TDO (02992703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02992f02) TDO (02992b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02993002) TDO (02992f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02994002) TDO (02993003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02994402) TDO (02994003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02994802) TDO (02994403) ;
RUNTEST 1 TCK;
SDR 27 TDI (02994c02) TDO (02994803) ;
RUNTEST 1 TCK;
SDR 27 TDI (02995002) TDO (02994c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02998302) TDO (02995003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02998702) TDO (02998303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02998b02) TDO (02998703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02998f02) TDO (02998b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02999302) TDO (02998f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0299a302) TDO (02999303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0299a702) TDO (0299a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (0299ab02) TDO (0299a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (0299af02) TDO (0299ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0299b002) TDO (0299af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (0299c002) TDO (0299b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0299c402) TDO (0299c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (0299c802) TDO (0299c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (0299cc02) TDO (0299c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (0299d002) TDO (0299cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (029a0302) TDO (0299d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (029a0702) TDO (029a0303) ;
RUNTEST 1 TCK;
SDR 27 TDI (029a0b02) TDO (029a0703) ;
RUNTEST 1 TCK;
SDR 27 TDI (029a0f02) TDO (029a0b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (029a1302) TDO (029a0f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (029a2302) TDO (029a1303) ;
RUNTEST 1 TCK;
SDR 27 TDI (029a2702) TDO (029a2303) ;
RUNTEST 1 TCK;
SDR 27 TDI (029a2b02) TDO (029a2703) ;
RUNTEST 1 TCK;
SDR 27 TDI (029a2f02) TDO (029a2b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (029a3002) TDO (029a2f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (029a4002) TDO (029a3003) ;
RUNTEST 1 TCK;
SDR 27 TDI (029a4402) TDO (029a4003) ;
RUNTEST 1 TCK;
SDR 27 TDI (029a4802) TDO (029a4403) ;
RUNTEST 1 TCK;
SDR 27 TDI (029a4c02) TDO (029a4803) ;
RUNTEST 1 TCK;
SDR 27 TDI (029a5002) TDO (029a4c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (029a8302) TDO (029a5003) ;
RUNTEST 1 TCK;
SDR 27 TDI (029a8702) TDO (029a8303) ;
RUNTEST 1 TCK;
SDR 27 TDI (029a8b02) TDO (029a8703) ;
RUNTEST 1 TCK;
SDR 27 TDI (029a8f02) TDO (029a8b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (029a9302) TDO (029a8f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (029aa302) TDO (029a9303) ;
RUNTEST 1 TCK;
SDR 27 TDI (029aa702) TDO (029aa303) ;
RUNTEST 1 TCK;
SDR 27 TDI (029aab02) TDO (029aa703) ;
RUNTEST 1 TCK;
SDR 27 TDI (029aaf02) TDO (029aab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (029ab002) TDO (029aaf03) ;
RUNTEST 1 TCK;
SDR 27 TDI (029ac002) TDO (029ab003) ;
RUNTEST 1 TCK;
SDR 27 TDI (029ac402) TDO (029ac003) ;
RUNTEST 1 TCK;
SDR 27 TDI (029ac802) TDO (029ac403) ;
RUNTEST 1 TCK;
SDR 27 TDI (029acc02) TDO (029ac803) ;
RUNTEST 1 TCK;
SDR 27 TDI (029ad002) TDO (029acc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (029b0302) TDO (029ad003) ;
RUNTEST 1 TCK;
SDR 27 TDI (029b0702) TDO (029b0303) ;
RUNTEST 1 TCK;
SDR 27 TDI (029b0b02) TDO (029b0703) ;
RUNTEST 1 TCK;
SDR 27 TDI (029b0f02) TDO (029b0b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (029b1302) TDO (029b0f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (029b2302) TDO (029b1303) ;
RUNTEST 1 TCK;
SDR 27 TDI (029b2702) TDO (029b2303) ;
RUNTEST 1 TCK;
SDR 27 TDI (029b2b02) TDO (029b2703) ;
RUNTEST 1 TCK;
SDR 27 TDI (029b2f02) TDO (029b2b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (029b3002) TDO (029b2f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (029b4002) TDO (029b3003) ;
RUNTEST 1 TCK;
SDR 27 TDI (029b4402) TDO (029b4003) ;
RUNTEST 1 TCK;
SDR 27 TDI (029b4802) TDO (029b4403) ;
RUNTEST 1 TCK;
SDR 27 TDI (029b4c02) TDO (029b4803) ;
RUNTEST 1 TCK;
SDR 27 TDI (029b5002) TDO (029b4c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (029b8302) TDO (029b5003) ;
RUNTEST 1 TCK;
SDR 27 TDI (029b8702) TDO (029b8303) ;
RUNTEST 1 TCK;
SDR 27 TDI (029b8b02) TDO (029b8703) ;
RUNTEST 1 TCK;
SDR 27 TDI (029b8f02) TDO (029b8b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (029b9302) TDO (029b8f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (029ba302) TDO (029b9303) ;
RUNTEST 1 TCK;
SDR 27 TDI (029ba702) TDO (029ba303) ;
RUNTEST 1 TCK;
SDR 27 TDI (029bab02) TDO (029ba703) ;
RUNTEST 1 TCK;
SDR 27 TDI (029baf02) TDO (029bab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (029bb002) TDO (029baf03) ;
RUNTEST 1 TCK;
SDR 27 TDI (029bc002) TDO (029bb003) ;
RUNTEST 1 TCK;
SDR 27 TDI (029bc402) TDO (029bc003) ;
RUNTEST 1 TCK;
SDR 27 TDI (029bc802) TDO (029bc403) ;
RUNTEST 1 TCK;
SDR 27 TDI (029bcc02) TDO (029bc803) ;
RUNTEST 1 TCK;
SDR 27 TDI (029bd002) TDO (029bcc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (029c0302) TDO (029bd003) ;
RUNTEST 1 TCK;
SDR 27 TDI (029c0702) TDO (029c0303) ;
RUNTEST 1 TCK;
SDR 27 TDI (029c0b02) TDO (029c0703) ;
RUNTEST 1 TCK;
SDR 27 TDI (029c0f02) TDO (029c0b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (029c1302) TDO (029c0f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (029c2302) TDO (029c1303) ;
RUNTEST 1 TCK;
SDR 27 TDI (029c2702) TDO (029c2303) ;
RUNTEST 1 TCK;
SDR 27 TDI (029c2b02) TDO (029c2703) ;
RUNTEST 1 TCK;
SDR 27 TDI (029c2f02) TDO (029c2b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (029c3002) TDO (029c2f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (029c4002) TDO (029c3003) ;
RUNTEST 1 TCK;
SDR 27 TDI (029c4402) TDO (029c4003) ;
RUNTEST 1 TCK;
SDR 27 TDI (029c4802) TDO (029c4403) ;
RUNTEST 1 TCK;
SDR 27 TDI (029c4c02) TDO (029c4803) ;
RUNTEST 1 TCK;
SDR 27 TDI (029c5002) TDO (029c4c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (029c8302) TDO (029c5003) ;
RUNTEST 1 TCK;
SDR 27 TDI (029c8702) TDO (029c8303) ;
RUNTEST 1 TCK;
SDR 27 TDI (029c8b02) TDO (029c8703) ;
RUNTEST 1 TCK;
SDR 27 TDI (029c8f02) TDO (029c8b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (029c9302) TDO (029c8f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (029ca302) TDO (029c9303) ;
RUNTEST 1 TCK;
SDR 27 TDI (029ca702) TDO (029ca303) ;
RUNTEST 1 TCK;
SDR 27 TDI (029cab02) TDO (029ca703) ;
RUNTEST 1 TCK;
SDR 27 TDI (029caf02) TDO (029cab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (029cb002) TDO (029caf03) ;
RUNTEST 1 TCK;
SDR 27 TDI (029cc002) TDO (029cb003) ;
RUNTEST 1 TCK;
SDR 27 TDI (029cc402) TDO (029cc003) ;
RUNTEST 1 TCK;
SDR 27 TDI (029cc802) TDO (029cc403) ;
RUNTEST 1 TCK;
SDR 27 TDI (029ccc02) TDO (029cc803) ;
RUNTEST 1 TCK;
SDR 27 TDI (029cd002) TDO (029ccc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (029d0302) TDO (029cd003) ;
RUNTEST 1 TCK;
SDR 27 TDI (029d0702) TDO (029d0303) ;
RUNTEST 1 TCK;
SDR 27 TDI (029d0b02) TDO (029d0703) ;
RUNTEST 1 TCK;
SDR 27 TDI (029d0f02) TDO (029d0b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (029d1302) TDO (029d0f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (029d2302) TDO (029d1303) ;
RUNTEST 1 TCK;
SDR 27 TDI (029d2702) TDO (029d2303) ;
RUNTEST 1 TCK;
SDR 27 TDI (029d2b02) TDO (029d2703) ;
RUNTEST 1 TCK;
SDR 27 TDI (029d2f02) TDO (029d2b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (029d3002) TDO (029d2f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (029d4002) TDO (029d3003) ;
RUNTEST 1 TCK;
SDR 27 TDI (029d4402) TDO (029d4003) ;
RUNTEST 1 TCK;
SDR 27 TDI (029d4802) TDO (029d4403) ;
RUNTEST 1 TCK;
SDR 27 TDI (029d4c02) TDO (029d4803) ;
RUNTEST 1 TCK;
SDR 27 TDI (029d5002) TDO (029d4c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (029d8302) TDO (029d5003) ;
RUNTEST 1 TCK;
SDR 27 TDI (029d8702) TDO (029d8303) ;
RUNTEST 1 TCK;
SDR 27 TDI (029d8b02) TDO (029d8703) ;
RUNTEST 1 TCK;
SDR 27 TDI (029d8f02) TDO (029d8b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (029d9302) TDO (029d8f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (029da302) TDO (029d9303) ;
RUNTEST 1 TCK;
SDR 27 TDI (029da702) TDO (029da303) ;
RUNTEST 1 TCK;
SDR 27 TDI (029dab02) TDO (029da703) ;
RUNTEST 1 TCK;
SDR 27 TDI (029daf02) TDO (029dab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (029db002) TDO (029daf03) ;
RUNTEST 1 TCK;
SDR 27 TDI (029dc002) TDO (029db003) ;
RUNTEST 1 TCK;
SDR 27 TDI (029dc402) TDO (029dc003) ;
RUNTEST 1 TCK;
SDR 27 TDI (029dc802) TDO (029dc403) ;
RUNTEST 1 TCK;
SDR 27 TDI (029dcc02) TDO (029dc803) ;
RUNTEST 1 TCK;
SDR 27 TDI (029dd002) TDO (029dcc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (029e0302) TDO (029dd003) ;
RUNTEST 1 TCK;
SDR 27 TDI (029e0702) TDO (029e0303) ;
RUNTEST 1 TCK;
SDR 27 TDI (029e0b02) TDO (029e0703) ;
RUNTEST 1 TCK;
SDR 27 TDI (029e0f02) TDO (029e0b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (029e1302) TDO (029e0f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (029e2302) TDO (029e1303) ;
RUNTEST 1 TCK;
SDR 27 TDI (029e2702) TDO (029e2303) ;
RUNTEST 1 TCK;
SDR 27 TDI (029e2b02) TDO (029e2703) ;
RUNTEST 1 TCK;
SDR 27 TDI (029e2f02) TDO (029e2b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (029e3002) TDO (029e2f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (029e4002) TDO (029e3003) ;
RUNTEST 1 TCK;
SDR 27 TDI (029e4402) TDO (029e4003) ;
RUNTEST 1 TCK;
SDR 27 TDI (029e4802) TDO (029e4403) ;
RUNTEST 1 TCK;
SDR 27 TDI (029e4c02) TDO (029e4803) ;
RUNTEST 1 TCK;
SDR 27 TDI (029e5002) TDO (029e4c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (029e8302) TDO (029e5003) ;
RUNTEST 1 TCK;
SDR 27 TDI (029e8702) TDO (029e8303) ;
RUNTEST 1 TCK;
SDR 27 TDI (029e8b02) TDO (029e8703) ;
RUNTEST 1 TCK;
SDR 27 TDI (029e8f02) TDO (029e8b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (029e9302) TDO (029e8f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (029ea302) TDO (029e9303) ;
RUNTEST 1 TCK;
SDR 27 TDI (029ea702) TDO (029ea303) ;
RUNTEST 1 TCK;
SDR 27 TDI (029eab02) TDO (029ea703) ;
RUNTEST 1 TCK;
SDR 27 TDI (029eaf02) TDO (029eab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (029eb002) TDO (029eaf03) ;
RUNTEST 1 TCK;
SDR 27 TDI (029ec002) TDO (029eb003) ;
RUNTEST 1 TCK;
SDR 27 TDI (029ec402) TDO (029ec003) ;
RUNTEST 1 TCK;
SDR 27 TDI (029ec802) TDO (029ec403) ;
RUNTEST 1 TCK;
SDR 27 TDI (029ecc02) TDO (029ec803) ;
RUNTEST 1 TCK;
SDR 27 TDI (029ed002) TDO (029ecc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (029f0302) TDO (029ed003) ;
RUNTEST 1 TCK;
SDR 27 TDI (029f0702) TDO (029f0303) ;
RUNTEST 1 TCK;
SDR 27 TDI (029f0b02) TDO (029f0703) ;
RUNTEST 1 TCK;
SDR 27 TDI (029f0f02) TDO (029f0b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (029f1302) TDO (029f0f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (029f2302) TDO (029f1303) ;
RUNTEST 1 TCK;
SDR 27 TDI (029f2702) TDO (029f2303) ;
RUNTEST 1 TCK;
SDR 27 TDI (029f2b02) TDO (029f2703) ;
RUNTEST 1 TCK;
SDR 27 TDI (029f2f02) TDO (029f2b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (029f3002) TDO (029f2f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (029f4002) TDO (029f3003) ;
RUNTEST 1 TCK;
SDR 27 TDI (029f4402) TDO (029f4003) ;
RUNTEST 1 TCK;
SDR 27 TDI (029f4802) TDO (029f4403) ;
RUNTEST 1 TCK;
SDR 27 TDI (029f4c02) TDO (029f4803) ;
RUNTEST 1 TCK;
SDR 27 TDI (029f5002) TDO (029f4c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (029f8302) TDO (029f5003) ;
RUNTEST 1 TCK;
SDR 27 TDI (029f8702) TDO (029f8303) ;
RUNTEST 1 TCK;
SDR 27 TDI (029f8b02) TDO (029f8703) ;
RUNTEST 1 TCK;
SDR 27 TDI (029f8f02) TDO (029f8b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (029f9302) TDO (029f8f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (029fa302) TDO (029f9303) ;
RUNTEST 1 TCK;
SDR 27 TDI (029fa702) TDO (029fa303) ;
RUNTEST 1 TCK;
SDR 27 TDI (029fab02) TDO (029fa703) ;
RUNTEST 1 TCK;
SDR 27 TDI (029faf02) TDO (029fab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (029fb002) TDO (029faf03) ;
RUNTEST 1 TCK;
SDR 27 TDI (029fc002) TDO (029fb003) ;
RUNTEST 1 TCK;
SDR 27 TDI (029fc402) TDO (029fc003) ;
RUNTEST 1 TCK;
SDR 27 TDI (029fc802) TDO (029fc403) ;
RUNTEST 1 TCK;
SDR 27 TDI (029fcc02) TDO (029fc803) ;
RUNTEST 1 TCK;
SDR 27 TDI (029fd002) TDO (029fcc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a00302) TDO (029fd003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a00702) TDO (02a00303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a00b02) TDO (02a00703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a00f02) TDO (02a00b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a01302) TDO (02a00f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a02302) TDO (02a01303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a02702) TDO (02a02303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a02b02) TDO (02a02703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a02f02) TDO (02a02b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a03002) TDO (02a02f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a04002) TDO (02a03003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a04402) TDO (02a04003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a04802) TDO (02a04403) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a04c02) TDO (02a04803) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a05002) TDO (02a04c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a08302) TDO (02a05003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a08702) TDO (02a08303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a08b02) TDO (02a08703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a08f02) TDO (02a08b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a09302) TDO (02a08f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a0a302) TDO (02a09303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a0a702) TDO (02a0a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a0ab02) TDO (02a0a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a0af02) TDO (02a0ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a0b002) TDO (02a0af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a0c002) TDO (02a0b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a0c402) TDO (02a0c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a0c802) TDO (02a0c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a0cc02) TDO (02a0c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a0d002) TDO (02a0cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a10302) TDO (02a0d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a10702) TDO (02a10303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a10b02) TDO (02a10703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a10f02) TDO (02a10b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a11302) TDO (02a10f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a12302) TDO (02a11303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a12702) TDO (02a12303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a12b02) TDO (02a12703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a12f02) TDO (02a12b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a13002) TDO (02a12f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a14002) TDO (02a13003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a14402) TDO (02a14003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a14802) TDO (02a14403) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a14c02) TDO (02a14803) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a15002) TDO (02a14c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a18202) TDO (02a15003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a18702) TDO (02a18203) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a18b02) TDO (02a18703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a18f02) TDO (02a18b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a19302) TDO (02a18f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a1a302) TDO (02a19303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a1a702) TDO (02a1a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a1ab02) TDO (02a1a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a1af02) TDO (02a1ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a1b002) TDO (02a1af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a1c002) TDO (02a1b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a1c402) TDO (02a1c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a1c802) TDO (02a1c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a1cc02) TDO (02a1c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a1d002) TDO (02a1cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a20302) TDO (02a1d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a20702) TDO (02a20303) MASK (07fffeff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a20b02) TDO (02a20703) MASK (07ffffff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a20f02) TDO (02a20b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a21302) TDO (02a20f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a22302) TDO (02a21303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a22602) TDO (02a22303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a22b02) TDO (02a22603) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a22f02) TDO (02a22b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a23002) TDO (02a22f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a24002) TDO (02a23003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a24402) TDO (02a24003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a24802) TDO (02a24403) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a24c02) TDO (02a24803) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a25002) TDO (02a24c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a28302) TDO (02a25003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a28702) TDO (02a28303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a28b02) TDO (02a28703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a28f02) TDO (02a28b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a29302) TDO (02a28f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a2a302) TDO (02a29303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a2a702) TDO (02a2a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a2ab02) TDO (02a2a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a2af02) TDO (02a2ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a2b002) TDO (02a2af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a2c002) TDO (02a2b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a2c402) TDO (02a2c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a2c802) TDO (02a2c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a2cc02) TDO (02a2c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a2d002) TDO (02a2cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a30302) TDO (02a2d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a30702) TDO (02a30303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a30b02) TDO (02a30703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a30f02) TDO (02a30b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a31302) TDO (02a30f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a32302) TDO (02a31303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a32702) TDO (02a32303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a32b02) TDO (02a32703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a32f02) TDO (02a32b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a33002) TDO (02a32f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a34002) TDO (02a33003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a34402) TDO (02a34003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a34802) TDO (02a34403) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a34c02) TDO (02a34803) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a35002) TDO (02a34c03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a38302) TDO (02a35003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a38702) TDO (02a38303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a38b02) TDO (02a38703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a38f02) TDO (02a38b03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a39302) TDO (02a38f03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a3a302) TDO (02a39303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a3a702) TDO (02a3a303) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a3ab02) TDO (02a3a703) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a3af02) TDO (02a3ab03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a3b002) TDO (02a3af03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a3c002) TDO (02a3b003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a3c402) TDO (02a3c003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a3c802) TDO (02a3c403) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a3cc02) TDO (02a3c803) ;
RUNTEST 1 TCK;
SDR 27 TDI (02a3d002) TDO (02a3cc03) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c003fe) TDO (02a3d003) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c005fe) TDO (02c003ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c009fe) TDO (02c005ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c00dfe) TDO (02c009ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c011fe) TDO (02c00dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c023fe) TDO (02c011ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c025fe) TDO (02c023ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c029fe) TDO (02c025ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c02dfe) TDO (02c029ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c031fe) TDO (02c02dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c043fe) TDO (02c031ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c045fe) TDO (02c043ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c049fe) TDO (02c045ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c04dfe) TDO (02c049ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c051fe) TDO (02c04dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c063fe) TDO (02c051ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c065fe) TDO (02c063ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c069fe) TDO (02c065ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c06dfe) TDO (02c069ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c071fe) TDO (02c06dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c083fe) TDO (02c071ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c085fe) TDO (02c083ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c089fe) TDO (02c085ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c08dfe) TDO (02c089ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c091fe) TDO (02c08dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c0a3fe) TDO (02c091ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c0a5fe) TDO (02c0a3ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c0a9fe) TDO (02c0a5ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c0adfe) TDO (02c0a9ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c0b1fe) TDO (02c0adff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c0c3fe) TDO (02c0b1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c0c5fe) TDO (02c0c3ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c0c9fe) TDO (02c0c5ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c0cdfe) TDO (02c0c9ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c0d1fe) TDO (02c0cdff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c0e3fe) TDO (02c0d1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c0e5fe) TDO (02c0e3ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c0e9fe) TDO (02c0e5ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c0edfe) TDO (02c0e9ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c0f1fe) TDO (02c0edff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c103fe) TDO (02c0f1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c105fe) TDO (02c103ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c109fe) TDO (02c105ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c10dfe) TDO (02c109ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c111fe) TDO (02c10dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c123fe) TDO (02c111ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c125fe) TDO (02c123ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c129fe) TDO (02c125ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c12dfe) TDO (02c129ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c131fe) TDO (02c12dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c143fe) TDO (02c131ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c145fe) TDO (02c143ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c149fe) TDO (02c145ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c14dfe) TDO (02c149ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c151fe) TDO (02c14dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c163fe) TDO (02c151ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c165fe) TDO (02c163ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c169fe) TDO (02c165ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c16dfe) TDO (02c169ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c171fe) TDO (02c16dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c183fe) TDO (02c171ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c185fe) TDO (02c183ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c189fe) TDO (02c185ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c18dfe) TDO (02c189ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c191fe) TDO (02c18dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c1a3fe) TDO (02c191ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c1a5fe) TDO (02c1a3ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c1a9fe) TDO (02c1a5ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c1adfe) TDO (02c1a9ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c1b1fe) TDO (02c1adff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c1c3fe) TDO (02c1b1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c1c5fe) TDO (02c1c3ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c1c9fe) TDO (02c1c5ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c1cdfe) TDO (02c1c9ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c1d1fe) TDO (02c1cdff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c1e3fe) TDO (02c1d1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c1e5fe) TDO (02c1e3ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c1e9fe) TDO (02c1e5ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c1edfe) TDO (02c1e9ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c1f1fe) TDO (02c1edff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c203fe) TDO (02c1f1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c205fe) TDO (02c203ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c209fe) TDO (02c205ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c20dfe) TDO (02c209ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c211fe) TDO (02c20dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c223fe) TDO (02c211ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c225fe) TDO (02c223ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c229fe) TDO (02c225ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c22dfe) TDO (02c229ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c231fe) TDO (02c22dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c403fe) TDO (02c231ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c405fe) TDO (02c403ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c409fe) TDO (02c405ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c40dfe) TDO (02c409ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c411fe) TDO (02c40dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c423fe) TDO (02c411ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c425fe) TDO (02c423ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c429fe) TDO (02c425ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c42dfe) TDO (02c429ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c431fe) TDO (02c42dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c443fe) TDO (02c431ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c445fe) TDO (02c443ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c449fe) TDO (02c445ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c44dfe) TDO (02c449ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c451fe) TDO (02c44dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c463fe) TDO (02c451ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c465fe) TDO (02c463ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c469fe) TDO (02c465ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c46dfe) TDO (02c469ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c471fe) TDO (02c46dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c483fe) TDO (02c471ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c485fe) TDO (02c483ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c489fe) TDO (02c485ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c48dfe) TDO (02c489ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c491fe) TDO (02c48dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c4a3fe) TDO (02c491ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c4a5fe) TDO (02c4a3ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c4a9fe) TDO (02c4a5ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c4adfe) TDO (02c4a9ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c4b1fe) TDO (02c4adff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c4c3fe) TDO (02c4b1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c4c5fe) TDO (02c4c3ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c4c9fe) TDO (02c4c5ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c4cdfe) TDO (02c4c9ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c4d1fe) TDO (02c4cdff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c4e3fe) TDO (02c4d1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c4e5fe) TDO (02c4e3ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c4e9fe) TDO (02c4e5ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c4edfe) TDO (02c4e9ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c4f1fe) TDO (02c4edff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c503fe) TDO (02c4f1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c505fe) TDO (02c503ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c509fe) TDO (02c505ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c50dfe) TDO (02c509ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c511fe) TDO (02c50dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c523fe) TDO (02c511ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c525fe) TDO (02c523ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c529fe) TDO (02c525ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c52dfe) TDO (02c529ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c531fe) TDO (02c52dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c543fe) TDO (02c531ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c545fe) TDO (02c543ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c549fe) TDO (02c545ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c54dfe) TDO (02c549ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c551fe) TDO (02c54dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c563fe) TDO (02c551ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c565fe) TDO (02c563ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c569fe) TDO (02c565ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c56dfe) TDO (02c569ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c571fe) TDO (02c56dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c583fe) TDO (02c571ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c585fe) TDO (02c583ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c589fe) TDO (02c585ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c58dfe) TDO (02c589ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c591fe) TDO (02c58dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c5a3fe) TDO (02c591ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c5a5fe) TDO (02c5a3ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c5a9fe) TDO (02c5a5ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c5adfe) TDO (02c5a9ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c5b1fe) TDO (02c5adff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c5c3fe) TDO (02c5b1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c5c5fe) TDO (02c5c3ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c5c9fe) TDO (02c5c5ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c5cdfe) TDO (02c5c9ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c5d1fe) TDO (02c5cdff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c5e3fe) TDO (02c5d1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c5e5fe) TDO (02c5e3ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c5e9fe) TDO (02c5e5ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c5edfe) TDO (02c5e9ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c5f1fe) TDO (02c5edff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c603fe) TDO (02c5f1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c605fe) TDO (02c603ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c609fe) TDO (02c605ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c60dfe) TDO (02c609ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c611fe) TDO (02c60dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c623fe) TDO (02c611ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c625fe) TDO (02c623ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c629fe) TDO (02c625ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c62dfe) TDO (02c629ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c631fe) TDO (02c62dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c803fe) TDO (02c631ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c805fe) TDO (02c803ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c809fe) TDO (02c805ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c80dfe) TDO (02c809ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c811fe) TDO (02c80dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c823fe) TDO (02c811ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c825fe) TDO (02c823ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c829fe) TDO (02c825ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c82dfe) TDO (02c829ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c831fe) TDO (02c82dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c843fe) TDO (02c831ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c845fe) TDO (02c843ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c849fe) TDO (02c845ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c84dfe) TDO (02c849ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c851fe) TDO (02c84dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c863fe) TDO (02c851ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c865fe) TDO (02c863ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c869fe) TDO (02c865ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c86dfe) TDO (02c869ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c871fe) TDO (02c86dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c883fe) TDO (02c871ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c885fe) TDO (02c883ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c889fe) TDO (02c885ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c88dfe) TDO (02c889ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c891fe) TDO (02c88dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c8a3fe) TDO (02c891ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c8a5fe) TDO (02c8a3ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c8a9fe) TDO (02c8a5ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c8adfe) TDO (02c8a9ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c8b1fe) TDO (02c8adff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c8c3fe) TDO (02c8b1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c8c5fe) TDO (02c8c3ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c8c9fe) TDO (02c8c5ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c8cdfe) TDO (02c8c9ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c8d1fe) TDO (02c8cdff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c8e3fe) TDO (02c8d1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c8e5fe) TDO (02c8e3ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c8e9fe) TDO (02c8e5ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c8edfe) TDO (02c8e9ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c8f1fe) TDO (02c8edff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c903fe) TDO (02c8f1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c905fe) TDO (02c903ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c909fe) TDO (02c905ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c90dfe) TDO (02c909ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c911fe) TDO (02c90dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c923fe) TDO (02c911ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c925fe) TDO (02c923ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c929fe) TDO (02c925ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c92dfe) TDO (02c929ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c931fe) TDO (02c92dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c943fe) TDO (02c931ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c945fe) TDO (02c943ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c949fe) TDO (02c945ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c94dfe) TDO (02c949ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c951fe) TDO (02c94dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c963fe) TDO (02c951ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c965fe) TDO (02c963ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c969fe) TDO (02c965ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c96dfe) TDO (02c969ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c971fe) TDO (02c96dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c983fe) TDO (02c971ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c985fe) TDO (02c983ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c989fe) TDO (02c985ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c98dfe) TDO (02c989ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c991fe) TDO (02c98dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c9a3fe) TDO (02c991ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c9a5fe) TDO (02c9a3ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c9a9fe) TDO (02c9a5ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c9adfe) TDO (02c9a9ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c9b1fe) TDO (02c9adff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c9c3fe) TDO (02c9b1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c9c5fe) TDO (02c9c3ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c9c9fe) TDO (02c9c5ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c9cdfe) TDO (02c9c9ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c9d1fe) TDO (02c9cdff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c9e3fe) TDO (02c9d1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c9e5fe) TDO (02c9e3ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c9e9fe) TDO (02c9e5ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c9edfe) TDO (02c9e9ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02c9f1fe) TDO (02c9edff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02ca03fe) TDO (02c9f1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02ca05fe) TDO (02ca03ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02ca09fe) TDO (02ca05ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02ca0dfe) TDO (02ca09ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02ca11fe) TDO (02ca0dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02ca23fe) TDO (02ca11ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02ca25fe) TDO (02ca23ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02ca29fe) TDO (02ca25ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02ca2dfe) TDO (02ca29ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02ca31fe) TDO (02ca2dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02cc03fe) TDO (02ca31ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02cc05fe) TDO (02cc03ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02cc09fe) TDO (02cc05ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02cc0dfe) TDO (02cc09ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02cc11fe) TDO (02cc0dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02cc23fe) TDO (02cc11ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02cc25fe) TDO (02cc23ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02cc29fe) TDO (02cc25ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02cc2dfe) TDO (02cc29ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02cc31fe) TDO (02cc2dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02cc43fe) TDO (02cc31ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02cc45fe) TDO (02cc43ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02cc49fe) TDO (02cc45ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02cc4dfe) TDO (02cc49ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02cc51fe) TDO (02cc4dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02cc63fe) TDO (02cc51ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02cc65fe) TDO (02cc63ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02cc69fe) TDO (02cc65ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02cc6dfe) TDO (02cc69ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02cc71fe) TDO (02cc6dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02cc83fe) TDO (02cc71ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02cc85fe) TDO (02cc83ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02cc89fe) TDO (02cc85ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02cc8dfe) TDO (02cc89ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02cc91fe) TDO (02cc8dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02cca3fe) TDO (02cc91ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02cca5fe) TDO (02cca3ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02cca9fe) TDO (02cca5ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02ccadfe) TDO (02cca9ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02ccb1fe) TDO (02ccadff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02ccc3fe) TDO (02ccb1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02ccc5fe) TDO (02ccc3ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02ccc9fe) TDO (02ccc5ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02cccdfe) TDO (02ccc9ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02ccd1fe) TDO (02cccdff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02cce3fe) TDO (02ccd1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02cce5fe) TDO (02cce3ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02cce9fe) TDO (02cce5ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02ccedfe) TDO (02cce9ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02ccf1fe) TDO (02ccedff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02cd03fe) TDO (02ccf1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02cd05fe) TDO (02cd03ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02cd09fe) TDO (02cd05ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02cd0dfe) TDO (02cd09ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02cd11fe) TDO (02cd0dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02cd23fe) TDO (02cd11ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02cd25fe) TDO (02cd23ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02cd29fe) TDO (02cd25ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02cd2dfe) TDO (02cd29ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02cd31fe) TDO (02cd2dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02cd43fe) TDO (02cd31ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02cd45fe) TDO (02cd43ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02cd49fe) TDO (02cd45ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02cd4dfe) TDO (02cd49ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02cd51fe) TDO (02cd4dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02cd63fe) TDO (02cd51ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02cd65fe) TDO (02cd63ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02cd69fe) TDO (02cd65ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02cd6dfe) TDO (02cd69ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02cd71fe) TDO (02cd6dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02cd83fe) TDO (02cd71ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02cd85fe) TDO (02cd83ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02cd89fe) TDO (02cd85ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02cd8dfe) TDO (02cd89ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02cd91fe) TDO (02cd8dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02cda3fe) TDO (02cd91ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02cda5fe) TDO (02cda3ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02cda9fe) TDO (02cda5ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02cdadfe) TDO (02cda9ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02cdb1fe) TDO (02cdadff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02cdc3fe) TDO (02cdb1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02cdc5fe) TDO (02cdc3ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02cdc9fe) TDO (02cdc5ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02cdcdfe) TDO (02cdc9ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02cdd1fe) TDO (02cdcdff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02cde3fe) TDO (02cdd1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02cde5fe) TDO (02cde3ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02cde9fe) TDO (02cde5ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02cdedfe) TDO (02cde9ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02cdf1fe) TDO (02cdedff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02ce03fe) TDO (02cdf1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02ce05fe) TDO (02ce03ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02ce09fe) TDO (02ce05ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02ce0dfe) TDO (02ce09ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02ce11fe) TDO (02ce0dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02ce23fe) TDO (02ce11ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02ce25fe) TDO (02ce23ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02ce29fe) TDO (02ce25ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02ce2dfe) TDO (02ce29ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02ce31fe) TDO (02ce2dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d003fe) TDO (02ce31ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d005fe) TDO (02d003ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d009fe) TDO (02d005ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d00dfe) TDO (02d009ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d011fe) TDO (02d00dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d023fe) TDO (02d011ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d025fe) TDO (02d023ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d029fe) TDO (02d025ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d02dfe) TDO (02d029ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d031fe) TDO (02d02dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d043fe) TDO (02d031ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d045fe) TDO (02d043ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d049fe) TDO (02d045ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d04dfe) TDO (02d049ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d051fe) TDO (02d04dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d063fe) TDO (02d051ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d065fe) TDO (02d063ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d069fe) TDO (02d065ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d06dfe) TDO (02d069ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d071fe) TDO (02d06dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d083fe) TDO (02d071ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d085fe) TDO (02d083ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d089fe) TDO (02d085ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d08dfe) TDO (02d089ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d091fe) TDO (02d08dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d0a3fe) TDO (02d091ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d0a5fe) TDO (02d0a3ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d0a9fe) TDO (02d0a5ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d0adfe) TDO (02d0a9ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d0b1fe) TDO (02d0adff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d0c3fe) TDO (02d0b1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d0c5fe) TDO (02d0c3ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d0c9fe) TDO (02d0c5ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d0cdfe) TDO (02d0c9ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d0d1fe) TDO (02d0cdff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d0e3fe) TDO (02d0d1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d0e5fe) TDO (02d0e3ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d0e9fe) TDO (02d0e5ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d0edfe) TDO (02d0e9ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d0f1fe) TDO (02d0edff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d103fe) TDO (02d0f1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d105fe) TDO (02d103ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d109fe) TDO (02d105ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d10dfe) TDO (02d109ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d111fe) TDO (02d10dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d123fe) TDO (02d111ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d125fe) TDO (02d123ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d129fe) TDO (02d125ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d12dfe) TDO (02d129ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d131fe) TDO (02d12dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d143fe) TDO (02d131ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d145fe) TDO (02d143ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d149fe) TDO (02d145ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d14dfe) TDO (02d149ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d151fe) TDO (02d14dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d163fe) TDO (02d151ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d165fe) TDO (02d163ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d169fe) TDO (02d165ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d16dfe) TDO (02d169ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d171fe) TDO (02d16dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d183fe) TDO (02d171ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d185fe) TDO (02d183ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d189fe) TDO (02d185ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d18dfe) TDO (02d189ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d191fe) TDO (02d18dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d1a3fe) TDO (02d191ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d1a5fe) TDO (02d1a3ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d1a9fe) TDO (02d1a5ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d1adfe) TDO (02d1a9ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d1b1fe) TDO (02d1adff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d1c3fe) TDO (02d1b1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d1c5fe) TDO (02d1c3ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d1c9fe) TDO (02d1c5ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d1cdfe) TDO (02d1c9ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d1d1fe) TDO (02d1cdff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d1e3fe) TDO (02d1d1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d1e5fe) TDO (02d1e3ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d1e9fe) TDO (02d1e5ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d1edfe) TDO (02d1e9ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d1f1fe) TDO (02d1edff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d203fe) TDO (02d1f1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d205fe) TDO (02d203ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d209fe) TDO (02d205ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d20dfe) TDO (02d209ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d211fe) TDO (02d20dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d223fe) TDO (02d211ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d225fe) TDO (02d223ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d229fe) TDO (02d225ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d22dfe) TDO (02d229ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d231fe) TDO (02d22dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d403fe) TDO (02d231ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d405fe) TDO (02d403ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d409fe) TDO (02d405ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d40dfe) TDO (02d409ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d411fe) TDO (02d40dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d423fe) TDO (02d411ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d425fe) TDO (02d423ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d429fe) TDO (02d425ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d42dfe) TDO (02d429ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d431fe) TDO (02d42dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d443fe) TDO (02d431ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d445fe) TDO (02d443ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d449fe) TDO (02d445ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d44dfe) TDO (02d449ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d451fe) TDO (02d44dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d463fe) TDO (02d451ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d465fe) TDO (02d463ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d469fe) TDO (02d465ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d46dfe) TDO (02d469ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d471fe) TDO (02d46dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d483fe) TDO (02d471ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d485fe) TDO (02d483ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d489fe) TDO (02d485ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d48dfe) TDO (02d489ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d491fe) TDO (02d48dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d4a3fe) TDO (02d491ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d4a5fe) TDO (02d4a3ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d4a9fe) TDO (02d4a5ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d4adfe) TDO (02d4a9ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d4b1fe) TDO (02d4adff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d4c3fe) TDO (02d4b1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d4c5fe) TDO (02d4c3ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d4c9fe) TDO (02d4c5ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d4cdfe) TDO (02d4c9ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d4d1fe) TDO (02d4cdff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d4e3fe) TDO (02d4d1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d4e5fe) TDO (02d4e3ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d4e9fe) TDO (02d4e5ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d4edfe) TDO (02d4e9ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d4f1fe) TDO (02d4edff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d503fe) TDO (02d4f1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d505fe) TDO (02d503ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d509fe) TDO (02d505ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d50dfe) TDO (02d509ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d511fe) TDO (02d50dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d523fe) TDO (02d511ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d525fe) TDO (02d523ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d529fe) TDO (02d525ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d52dfe) TDO (02d529ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d531fe) TDO (02d52dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d543fe) TDO (02d531ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d545fe) TDO (02d543ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d549fe) TDO (02d545ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d54dfe) TDO (02d549ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d551fe) TDO (02d54dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d563fe) TDO (02d551ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d565fe) TDO (02d563ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d569fe) TDO (02d565ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d56dfe) TDO (02d569ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d571fe) TDO (02d56dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d583fe) TDO (02d571ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d585fe) TDO (02d583ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d589fe) TDO (02d585ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d58dfe) TDO (02d589ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d591fe) TDO (02d58dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d5a3fe) TDO (02d591ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d5a5fe) TDO (02d5a3ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d5a9fe) TDO (02d5a5ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d5adfe) TDO (02d5a9ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d5b1fe) TDO (02d5adff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d5c3fe) TDO (02d5b1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d5c5fe) TDO (02d5c3ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d5c9fe) TDO (02d5c5ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d5cdfe) TDO (02d5c9ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d5d1fe) TDO (02d5cdff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d5e3fe) TDO (02d5d1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d5e5fe) TDO (02d5e3ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d5e9fe) TDO (02d5e5ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d5edfe) TDO (02d5e9ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d5f1fe) TDO (02d5edff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d603fe) TDO (02d5f1ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d605fe) TDO (02d603ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d609fe) TDO (02d605ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d60dfe) TDO (02d609ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d611fe) TDO (02d60dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d623fe) TDO (02d611ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d625fe) TDO (02d623ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d629fe) TDO (02d625ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d62dfe) TDO (02d629ff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d631fe) TDO (02d62dff) ;
RUNTEST 1 TCK;
SDR 27 TDI (02d631fe) TDO (02d631ff) ;
//Loading device with 'conld' instruction.
SIR 8 TDI (f0) ;
RUNTEST 100 TCK;
//Loading device with 'bypass' instruction.
SIR 8 TDI (ff) ;
TIR 0 ;
HIR 0 ;
HDR 0 ;
TDR 0 ;
TIR 0 ;
HIR 0 ;
TDR 0 ;
HDR 0 ;
SIR 8 TDI (ff) ;
SDR 1 TDI (00) SMASK (01) ;

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.