OpenCores
URL https://opencores.org/ocsvn/or1k/or1k/trunk

Subversion Repositories or1k

[/] [or1k/] [tags/] [stable/] [mp3/] [lib/] [xilinx/] [unisims/] [LUT1.v] - Rev 266

Go to most recent revision | Compare with Previous | Blame | View Log

// $Header: /home/marcus/revision_ctrl_test/oc_cvs/cvs/or1k/mp3/lib/xilinx/unisims/LUT1.v,v 1.1.1.1 2001-11-04 18:59:48 lampret Exp $
/*
 
FUNCTION	: 2-inputs LUT
 
*/
 
`timescale  100 ps / 10 ps
 
`celldefine
 
module LUT1 (O, I0);
 
    parameter INIT = 2'h0;
 
    input I0;
 
    output O;
 
    wire out;
 
    lut1_mux2 (out, INIT[1], INIT[0], I0);
 
    buf b1 (O, out);
 
    specify
	(I0 *> O) = (1, 1);
    endspecify
 
endmodule
 
`endcelldefine
 
primitive lut1_mux2 (O, d1, d0, s0);
 
  output O;
  input d1, d0;
  input s0;
 
  table
 
    // d1  d0  s0 : O;
 
       ?   1   0  : 1;
       ?   0   0  : 0;
       1   ?   1  : 1;
       0   ?   1  : 0;
       0   0   x  : 0;
       1   1   x  : 1;
 
  endtable
 
endprimitive
 

Go to most recent revision | Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.