URL
https://opencores.org/ocsvn/or1k/or1k/trunk
Subversion Repositories or1k
[/] [or1k/] [trunk/] [mp3/] [lib/] [xilinx/] [unisims/] [IBUFDS_LVDS_33.v] - Rev 266
Go to most recent revision | Compare with Previous | Blame | View Log
// $Header: /home/marcus/revision_ctrl_test/oc_cvs/cvs/or1k/mp3/lib/xilinx/unisims/IBUFDS_LVDS_33.v,v 1.1.1.1 2001-11-04 18:59:48 lampret Exp $ /* FUNCTION : INPUT BUFFER */ `timescale 100 ps / 10 ps `celldefine module IBUFDS_LVDS_33 (O, I, IB); parameter cds_action = "ignore"; output O; input I, IB; reg o_out; buf b_0 (O, o_out); always @(I or IB) begin if (I == 1'b1 && IB == 1'b0) o_out <= I; else if (I == 1'b0 && IB == 1'b1) o_out <= I; end specify (I *> O) = (1, 1); endspecify endmodule `endcelldefine
Go to most recent revision | Compare with Previous | Blame | View Log