OpenCores
URL https://opencores.org/ocsvn/or1k_soc_on_altera_embedded_dev_kit/or1k_soc_on_altera_embedded_dev_kit/trunk

Subversion Repositories or1k_soc_on_altera_embedded_dev_kit

[/] [or1k_soc_on_altera_embedded_dev_kit/] [trunk/] [soc/] [rtl/] [altera_ddr_ctrl/] [altera_ddr_phy.qip] - Rev 12

Compare with Previous | Blame | View Log

set_global_assignment -name IP_TOOL_NAME "altmemphy"
set_global_assignment -name IP_TOOL_VERSION "9.0"
set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) "altera_ddr_phy_alt_mem_phy_seq_wrapper.v"]
set_global_assignment -name VHDL_FILE [file join $::quartus(qip_path) "altera_ddr_phy_alt_mem_phy_seq.vhd"]
set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) "altera_ddr_phy.v"]
set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) "altera_ddr_phy_alt_mem_phy.v"]
set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) "altera_ddr_phy_alt_mem_phy_pll.v"]
set_global_assignment -name SEARCH_PATH  [file join $::quartus(qip_path) "." ]
set_global_assignment -name SEARCH_PATH [file join $::quartus(qip_path) altmemphy-library ]
set_global_assignment -name OCP_FILE [file join $::quartus(qip_path) altmemphy-library/auk_ddr_hp_controller.ocp ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) altera_ddr_phy.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) altera_ddr_phy_bb.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) altera_ddr_phy.bsf ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) altera_ddr_phy_alt_mem_phy_seq_wrapper.vo ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) altera_ddr_syn.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) altera_ddr_phy.qip ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) altera_ddr_phy.html ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) altera_ddr_phy_alt_mem_phy_seq_wrapper.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) altera_ddr_phy_alt_mem_phy_seq.vhd ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) altera_ddr_phy_alt_mem_phy.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) altera_ddr_phy_alt_mem_phy_pll.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) altera_ddr_phy_pin_assignments.tcl ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) altera_ddr_phy_ddr_pins.tcl ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) altera_ddr_phy_report_timing.tcl ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) altera_ddr_phy_ddr_timing.sdc ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) altera_ddr_phy_alt_mem_phy_pll.qip ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) alt_mem_phy_defines.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) altera_ddr_phy.ppf ]

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.