OpenCores
URL https://opencores.org/ocsvn/pci/pci/trunk

Subversion Repositories pci

[/] [pci/] [tags/] [rel_12/] [sim/] [rtl_sim/] [bin/] [ncvlog_sim.args] - Rev 154

Compare with Previous | Blame | View Log

-cdslib ../bin/cds.lib
-hdlvar ../bin/hdl.var
-logfile ../log/ncvlog_sim.log
-update
-messages
-INCDIR ../../../bench/verilog
-INCDIR ../../../rtl/verilog
../../../bench/verilog/wb_master32.v
../../../bench/verilog/wb_master_behavioral.v
../../../bench/verilog/system.v
../../../bench/verilog/pci_blue_arbiter.v
../../../bench/verilog/pci_bus_monitor.v
../../../bench/verilog/pci_behaviorial_device.v
../../../bench/verilog/pci_behaviorial_master.v
../../../bench/verilog/pci_behaviorial_target.v
../../../bench/verilog/wb_slave_behavioral.v
../../../bench/verilog/wb_bus_mon.v
../../../bench/verilog/pci_behavioral_iack_target.v
../../../bench/verilog/pci_unsupported_commands_master.v

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.