OpenCores
URL https://opencores.org/ocsvn/pci/pci/trunk

Subversion Repositories pci

[/] [pci/] [tags/] [rel_12/] [sim/] [rtl_sim/] [bin/] [ncvlog_xilinx.args] - Rev 154

Compare with Previous | Blame | View Log

-cdslib ../bin/cds.lib
-hdlvar ../bin/hdl.var
-logfile ../log/ncvlog_xilinx.log
-update 
-messages
../../../../lib/xilinx/lib/glbl/glbl.v
../../../../lib/xilinx/lib/unisims/RAMB4_S16_S16.v
../../../../lib/xilinx/lib/unisims/RAM16X1D.v

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.