OpenCores
URL https://opencores.org/ocsvn/pci/pci/trunk

Subversion Repositories pci

[/] [pci/] [tags/] [rel_12/] [sim/] [rtl_sim/] [run/] [ncsim.args] - Rev 154

Compare with Previous | Blame | View Log

-cdslib ../bin/cds.lib
-hdlvar ../bin/hdl.var
-licqueue
-logfile ../log/ncsim.log
-messages
-tcl
-input ../bin/ncsim.rc
worklib.bridge32:fun

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.