OpenCores
URL https://opencores.org/ocsvn/pci/pci/trunk

Subversion Repositories pci

[/] [pci/] [tags/] [rel_13/] [apps/] [test/] [syn/] [synplify/] [pci_test_top.ucf] - Rev 154

Compare with Previous | Blame | View Log

INST "i_clkdll" CLKDV_DIVIDE = 2.0 ;
INST "i_clkdll" LOC = DLL0 ;
INST "i_bufg_clk0" LOC = GCLKBUF0 ;
INST "i_bufg_wb_clk" LOC = GCLKBUF1 ;
NET "pci_clk_pad_i" TNM_NET = "pci_clk_pad_i";

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.