OpenCores
URL https://opencores.org/ocsvn/pcie_ds_dma/pcie_ds_dma/trunk

Subversion Repositories pcie_ds_dma

[/] [pcie_ds_dma/] [trunk/] [projects/] [ml605_lx240t_core/] [src/] [doc/] [adm/] [reg_common.htm] - Rev 2

Compare with Previous | Blame | View Log

<Html>
<Head>
 <Title>Общие регистры тетрад</Title>
 <Meta Http-equiv="Content-Type" Content="text/html; Charset=windows-1251">
 <Link Rel="StyleSheet" Type="text/css" Href="style.css">
</Head>
<Body LeftMargin="5" TopMargin="5" BgColor="#ffffff">
 
<h1>Общие регистры тетрад</h1>
Индекс документа: RG040006&nbsp;&nbsp; Версия документа:&nbsp; 1.3<hr>
 
 
<h2>Состав регистров</h2>
<h3><a name="Прямые регистры">Прямые регистры</a></h3>
<table border="2" width="90%" bordercolorlight="#000000" bordercolordark="#000000" bordercolor="#000000" cellspacing="0" cellpadding="2" style="border-collapse: collapse">
  <tr>
    <td width="6%" align="center"><b>Название</b></td>
    <td width="9%" align="center"><b>Разрядность</b></td>
    <td width="45%"><b>Описание</b></td>
  </tr>
  <tr>
    <td width="6%" align="center"><a href="#Регистры STATUS, IRQ_MASK, IRQ_INV">STATUS</a></td>
    <td width="9%" align="center">16</td>
    <td width="45%">&nbsp;Регистр состояния</td>
  </tr>
  <tr>
    <td width="6%" align="center"><a href="#Регистр DATA">DATA</a></td>
    <td width="9%" align="center">*</td>
    <td width="45%">&nbsp;Регистр данных</td>
  </tr>
  <tr>
    <td width="6%" align="center"><a href="#Регистр CMD_ADR">CMD_ADR</a></td>
    <td width="9%" align="center">10</td>
    <td width="45%">&nbsp;Регистр косвенного адреса</td>
  </tr>
  <tr>
    <td width="6%" align="center"><a href="#Регистр CMD_DATA">CMD_DATA</a></td>
    <td width="9%" align="center">16,*</td>
    <td width="45%">&nbsp;Регистр косвенных данных</td>
  </tr>
</table>
<br>
Адреса и способ доступа к прямым регистрам
определяется базовым модулем.<br>
Число разрядов регистра DATA определяется
конкретной тетрадой.<br>
Непосредственные регистры, доступ к
которым производится через регистр CMD_DATA,
могут иметь число разрядов больше 16.
<h3><a name="&nbsp;Командные регистры">&nbsp;Командные регистры</a></h3>
<table border="2" width="90%" bordercolorlight="#000000" cellspacing="0" cellpadding="2" bordercolordark="#000000" style="border-collapse: collapse">
  <tr>
    <td width="13%" align="center"><b>Номер</b></td>
    <td width="18%" align="center"><b>Название</b></td>
    <td width="69%"><b>&nbsp;Описание</b></td>
  </tr>
  <tr>
    <td width="100%" align="center" colspan="3">
      <p align="left">&nbsp;&nbsp;&nbsp;&nbsp; Общие регистры</td>
  </tr>
  <tr>
    <td width="13%" align="center">0</td>
    <td width="18%" align="center"><a href="#Регистр MODE0">MODE0</a></td>
    <td width="69%">&nbsp;Регистр управления</td>
  </tr>
  <tr>
    <td width="13%" align="center">1</td>
    <td width="18%" align="center"><a href="#Регистры STATUS, IRQ_MASK, IRQ_INV">IRQ_MASK</a></td>
    <td width="69%">&nbsp;Разрешение прерываний</td>
  </tr>
  <tr>
    <td width="13%" align="center">2</td>
    <td width="18%" align="center"><a href="#Регистры STATUS, IRQ_MASK, IRQ_INV">IRQ_INV</a></td>
    <td width="69%">&nbsp;Инверсия условия прерывания</td>
  </tr>
  <tr>
    <td width="13%" align="center">3</td>
    <td width="18%" align="center"><a href="#Регистр FMODE">FMODE</a></td>
    <td width="69%">&nbsp;Выбор источника тактовой
      частоты</td>
  </tr>
  <tr>
    <td width="13%" align="center">4</td>
    <td width="18%" align="center"><a href="#Регистр FDIV">FDIV</a></td>
    <td width="69%">&nbsp;Делитель тактовой частоты</td>
  </tr>
  <tr>
    <td width="13%" align="center">5</td>
    <td width="18%" align="center"><a href="#Регистр STMODE">STMODE</a></td>
    <td width="69%">&nbsp;Регистр синхронизации</td>
  </tr>
  <tr>
    <td width="13%" align="center">6</td>
    <td width="18%" align="center"><a href="#Регистр CNT0">CNT0</a></td>
    <td width="69%">&nbsp;Счётчик начальной задержки</td>
  </tr>
  <tr>
    <td width="13%" align="center">7</td>
    <td width="18%" align="center"><a href="#Регистр CNT1">CNT1</a></td>
    <td width="69%">&nbsp;Счётчик принимаемых слов</td>
  </tr>
  <tr>
    <td width="13%" align="center">8</td>
    <td width="18%" align="center"><a href="#Регистр CNT2">CNT2</a></td>
    <td width="69%">&nbsp;Счётчик пропускаемых слов</td>
  </tr>
  <tr>
    <td width="100%" align="left" colspan="3">&nbsp;&nbsp; Индивидуальные регистры&nbsp;</td>
  </tr>
  <tr>
    <td width="13%" align="center">9</td>
    <td width="18%" align="center">MODE1</td>
    <td width="69%">&nbsp;Регистр управления MODE1</td>
  </tr>
  <tr>
    <td width="13%" align="center"><span lang="en-us">0x0A</span></td>
    <td width="18%" align="center">MODE2</td>
    <td width="69%">&nbsp;Регистр управления MODE2</td>
  </tr>
  <tr>
    <td width="13%" align="center"><span lang="en-us">0x0B</span></td>
    <td width="18%" align="center">MODE3</td>
    <td width="69%">&nbsp;Регистр управления MODE3</td>
  </tr>
  <tr>
    <td width="13%" align="center"><span lang="en-us">0x0C</span></td>
    <td width="18%" align="center">SFLAG_<span lang="en-us">PAE</span></td>
    <td width="69%"><span lang="en-us">&nbsp;</span>Установка уровня 
	срабатывания флага <span lang="en-us">PAE</span></td>
  </tr>
  <tr>
    <td width="13%" align="center"><span lang="en-us">0x0D</span></td>
    <td width="18%" align="center"><span lang="en-us">SFLAG_PAF</span></td>
    <td width="69%"><span lang="en-us">&nbsp;</span>Установка уровня 
	срабатывания флага <span lang="en-us">PAF</span></td>
  </tr>
  <tr>
    <td width="13%" align="center"><span lang="en-us">0x0E</span></td>
    <td width="18%" align="center">PRT_MODE</td>
    <td width="69%">&nbsp;<span lang="ru">Режим претриггера</span></td>
  </tr>
  <tr>
    <td width="13%" align="center"><span lang="en-us">0x0F</span></td>
    <td width="18%" align="center">TL_MODE</td>
    <td width="69%"><span lang="ru">&nbsp;Режим формирования заголовка</span></td>
  </tr>
  <tr>
    <td width="13%" align="center"><span lang="en-us">0x10</span></td>
    <td width="18%" align="center"><span lang="en-us">CHAN1</span></td>
    <td width="69%"><span lang="en-us">&nbsp;</span>Выбор канала</td>
  </tr>
  <tr>
    <td width="13%" align="center"><span lang="en-us">0x11</span></td>
    <td width="18%" align="center"><span lang="en-us">CHAN2</span></td>
    <td width="69%">&nbsp;Выбор канала</td>
  </tr>
  <tr>
    <td width="13%" align="center"><span lang="en-us">0x12</span></td>
    <td width="18%" align="center"><span lang="en-us">FORMAT</span></td>
    <td width="69%">&nbsp;Выбор формата данных</td>
  </tr>
  <tr>
    <td width="13%" align="center"><span lang="en-us">0x13</span></td>
    <td width="18%" align="center"><span lang="en-us">FSRC</span></td>
    <td width="69%">&nbsp;Выбор источника тактовой частоты на субмодуле</td>
  </tr>
  <tr>
    <td width="13%" align="center"><span lang="en-us">0x14</span></td>
    <td width="18%" align="center"><span lang="en-us">FDVR</span></td>
    <td width="69%">&nbsp;Выбор коэффициента деления тактовой частоты</td>
  </tr>
  <tr>
    <td width="13%" align="center"><span lang="en-us">0x15</span></td>
    <td width="18%" align="center"><span lang="en-us">GAIN</span></td>
    <td width="69%">&nbsp;Выбор коэффициента усиления</td>
  </tr>
  <tr>
    <td width="13%" align="center"><span lang="en-us">0x16</span></td>
    <td width="18%" align="center"><span lang="en-us">INP</span></td>
    <td width="69%">&nbsp;Управление входами субмодуля</td>
  </tr>
  <tr>
    <td width="13%" align="center"><span lang="en-us">0x17</span></td>
    <td width="18%" align="center"><span lang="en-us">CONTROL1</span></td>
    <td width="69%">&nbsp;Регистр управления субмодулем</td>
  </tr>
  <tr>
    <td width="13%" align="center">0<span lang="en-us">x18<br>
	0x1F</span></td>
    <td width="18%" align="center"><span lang="en-us">-</span></td>
    <td width="69%"><span lang="en-us">&nbsp;</span>Резерв</td>
  </tr>
</table>
<br>
 
Командные регистры предназначены для
управления ресурсами тетрады. Командные
регистры доступны для записи и для чтения.
При записи в регистр также производится
запись в теневое ОЗУ, при чтении данные
читаются из ОЗУ. Начальное значение всех
регистров 0. После сброса не происходит
обнуление теневого ОЗУ. При программном
сбросе тетрады требуется записать 0 во все
командные регистры тетрады.&nbsp;<br>
Общие регистры существуют во всех тетрадах.
Если функция, которую выполняет регистр, не
требуется, то регистр в тетраде не
реализуется.<br>
Состав и назначение индивидуальных
регистров определяется конкретной
тетрадой. В данном документе приводится рекомендуемый состав регистров тетрады.<h3><a name="Константы">Константы</a></h3>
<table border="2" width="90%" bordercolorlight="#000000" cellspacing="0" cellpadding="2" bordercolordark="#000000" style="border-collapse: collapse">
  <tr>
    <td width="15%" align="center"><b>Номер</b></td>
    <td width="21%" align="center"><b>Название</b></td>
    <td width="104%"><b>&nbsp;Описание</b></td>
  </tr>
  <tr>
    <td width="15%" align="center">0x100</td>
    <td width="21%" align="center"><a href="#Регистр ID">ID</a></td>
    <td width="104%">&nbsp;Идентификатор тетрады&nbsp;</td>
  </tr>
  <tr>
    <td width="15%" align="center">0x101</td>
    <td width="21%" align="center"><a href="#Регистр ID_MODE">ID_MOD</a></td>
    <td width="104%">&nbsp;Модификатор тетрады</td>
  </tr>
  <tr>
    <td width="15%" align="center">0x102</td>
    <td width="21%" align="center"><a href="#Регистр VER">VER</a></td>
    <td width="104%">&nbsp;Версия тетрады</td>
  </tr>
  <tr>
    <td width="15%" align="center">0x103</td>
    <td width="21%" align="center"><a href="#Регистр TRES">TRES</a></td>
    <td width="104%">&nbsp;Ресурсы тетрады</td>
  </tr>
  <tr>
    <td width="15%" align="center">0x104</td>
    <td width="21%" align="center"><a href="#Регистр FSIZE">FSIZE</a></td>
    <td width="104%">&nbsp;Размер FIFO</td>
  </tr>
  <tr>
    <td width="15%" align="center">0x105</td>
    <td width="21%" align="center"><a href="#Регистр FTYPE">FTYPE</a></td>
    <td width="104%">&nbsp;Тип FIFO</td>
  </tr>
  <tr>
    <td width="15%" align="center">0x106</td>
    <td width="21%" align="center"><a href="#Регистр PATH">PATH</a></td>
    <td width="104%">&nbsp;Подключение тетрады</td>
  </tr>
  <tr>
    <td width="15%" align="center">0x107</td>
    <td width="21%" align="center"><a href="#Регистр ID_NUM">ID_NUM</a></td>
    <td width="104%">&nbsp;Номер экземпляра тетрады</td>
  </tr>
  <tr>
    <td width="15%" align="center">0x108</td>
    <td width="21%" align="center"><a href="#Регистр PFSIZE">PFSIZE</a></td>
    <td width="104%">&nbsp;Размер FIFO <span lang="ru">претриггера </span></td>
  </tr>
  <tr>
    <td width="15%" align="center">0x109<br>
      0x11F</td>
    <td width="21%" align="center">-</td>
    <td width="104%">&nbsp;Индивидуальные константы
      тетрад</td>
  </tr>
</table>
<br>
Константы предназначены для хранения
информации о параметрах тетрады. Значения
констант хранятся в ПЗУ ПЛИС.<h3><a name="Константы0">Непосредственные регистры</a></h3>
<table border="2" width="90%" bordercolorlight="#000000" cellspacing="0" cellpadding="2" bordercolordark="#000000" id="table1" style="border-collapse: collapse">
  <tr>
    <td width="15%" align="center"><b>Номер</b></td>
    <td width="21%" align="center"><b>Название</b></td>
    <td width="104%"><b>&nbsp;Описание</b></td>
  </tr>
  <tr>
    <td width="15%" align="center">0x200</td>
    <td width="21%" align="center"><a href="#Регистр ID"><span lang="en-us">
	FLAG_CLR</span></a></td>
    <td width="104%"><span lang="en-us">&nbsp;</span>Сброс флагов в регистре
	<span lang="en-us">STATUS</span></td>
  </tr>
  <tr>
    <td width="15%" align="center">0<span lang="en-us">x208</span></td>
    <td width="21%" align="center"><a href="#Регистр ID_MODE">
	<span lang="en-us">ADC_OR</span></a></td>
    <td width="104%"><span lang="en-us">&nbsp;</span>Регистр переполнения АЦП</td>
  </tr>
  <tr>
    <td width="15%" align="center"><span lang="ru">0</span>x209</td>
    <td width="21%" align="center">PRT_STATUS</td>
    <td width="104%">&nbsp;<span lang="ru">Регистр состояния претриггера</span></td>
  </tr>
  <tr>
    <td width="15%" align="center">0x20A</td>
    <td width="21%" align="center">PRT_CNTL</td>
    <td width="104%">&nbsp;<span lang="ru">Номер слова в котором произошло 
	событие старта. Младшие разряды.</span></td>
  </tr>
  <tr>
    <td width="15%" align="center">0x20B</td>
    <td width="21%" align="center">PRT_CNTH</td>
    <td width="104%">&nbsp;<span lang="ru">Номер слова в котором произошло 
	событие старта. Старшие разряды.</span></td>
  </tr>
  <tr>
    <td width="15%" align="center">0x20C</td>
    <td width="21%" align="center">TL_ADR</td>
    <td width="104%">&nbsp;<span lang="ru">Регистр адреса заголовка</span></td>
  </tr>
  <tr>
    <td width="15%" align="center">0x20D</td>
    <td width="21%" align="center">TL_DATA</td>
    <td width="104%"><span lang="ru">&nbsp;Регистр данных заголовка</span></td>
  </tr>
  </table>
<br>
Непосредственные регистры предназначены для реализации дополнительных регистров 
управления и состояния.
<p><br>
</p>
<h2>Описание регистров</h2>
<h3><a name="Регистр STATUS, IRQ_MASK, IRQ_INV">Регистр STATUS, IRQ_MASK, IRQ_INV</a></h3>
 
 
<table border="2" width="90%" bordercolorlight="#000000" cellspacing="0" cellpadding="2" bordercolordark="#000000" style="border-collapse: collapse">
  <tr>
    <td width="5%" align="center"><b>&nbsp;Бит</b></td>
    <td width="6%" align="center"><b>&nbsp;Название</b></td>
    <td width="66%"><b>&nbsp;Описание</b></td>
  </tr>
  <tr>
    <td width="5%" align="center">0</td>
    <td width="6%" align="center">CMD_RDY</td>
    <td width="66%">&nbsp;1 - готовность к выполнению
      команды</td>
  </tr>
  <tr>
    <td width="5%" align="center">1</td>
    <td width="6%" align="center">RDY</td>
    <td width="66%">&nbsp;1 - готовность FIFO</td>
  </tr>
  <tr>
    <td width="5%" align="center">2</td>
    <td width="6%" align="center">EF</td>
    <td width="66%">&nbsp;0 - FIFO пустое</td>
  </tr>
  <tr>
    <td width="5%" align="center">3</td>
    <td width="6%" align="center">PAE</td>
    <td width="66%">&nbsp;0 - FIFO почти пустое</td>
  </tr>
  <tr>
    <td width="5%" align="center">4</td>
    <td width="6%" align="center">HF</td>
    <td width="66%">&nbsp;0 - FIFO заполнено наполовину</td>
  </tr>
  <tr>
    <td width="5%" align="center">5</td>
    <td width="6%" align="center">PAF</td>
    <td width="66%">&nbsp;0 - FIFO почти полное</td>
  </tr>
  <tr>
    <td width="5%" align="center">6</td>
    <td width="6%" align="center">FF</td>
    <td width="66%">&nbsp;0 - FIFO полное</td>
  </tr>
  <tr>
    <td width="5%" align="center">7</td>
    <td width="6%" align="center">OVR</td>
    <td width="66%">&nbsp;1 - запись в полное FIFO</td>
  </tr>
  <tr>
    <td width="5%" align="center">8</td>
    <td width="6%" align="center">UND</td>
    <td width="66%">&nbsp;1 - чтение из пустого FIFO</td>
  </tr>
  <tr>
    <td width="5%" align="center">9</td>
    <td width="6%" align="center"><span lang="en-us">ERR</span></td>
    <td width="66%"><span lang="en-us">&nbsp;1 - </span>ошибка доступа к 
	регистрам</td>
  </tr>
  <tr>
    <td width="5%" align="center">10</td>
    <td width="6%" align="center"><span lang="en-us">START</span></td>
    <td width="66%"><span lang="en-us">&nbsp;1 - </span>разрешение работы 
	тетрады</td>
  </tr>
  <tr>
    <td width="5%" align="center">11</td>
    <td width="6%" align="center"><span lang="en-us">OR</span></td>
    <td width="66%"><span lang="en-us">&nbsp;1 - </span>переполнение в одном из 
	каналов АЦП</td>
  </tr>
	<tr>
    <td width="5%" align="center">12<br>
      15</td>
    <td width="6%" align="center">-</td>
    <td width="66%">&nbsp;Индивидуальные флаги тетрад </td>
  </tr>
</table>
<p>
Регистр STATUS показывает текущее значение
флагов.<br>
Регистр IRQ_MASK показывает&nbsp; разряды
регистра STATUS, по которым будет
формироваться прерывание. Значение 1 в
разряде регистра IRQ_MASK разрешает
формирование прерывания от
соответствующего разряда регистра STATUS.<br>
Регистр IRQ_INV определяет инверсию условия
прерывания. Значение 0 в разряде регистра
IRQ_INV определяет формирование прерывания по
значению 1 в разряде регистре STATUS.<br>
Значение 1 в разряде регистра IRQ_INV
определяет формирование прерывания по
значению 0 в разряде регистра STATUS.</p>
<p>Уровни срабатывания флагов FIFO
определяются конкретной тетрадой и
прошивкой ПЛИС.<br>
Флаги переполнения и недогрузки FIFO (OVR, UND)
сбрасываются при сбросе FIFO.&nbsp;</p>
<p><span lang="ru">Флаг </span>ERR <span lang="ru">устанавливается в 1 если 
зафиксирована ошибка доступа к регистрам.<br>
Флаг </span>START <span lang="ru">устанавливается в 1 если разрешён сбор данных.
<br>
Флаг</span>&nbsp; <span lang="en-us">OR </span>&nbsp;устанавливаются в 1 если 
зафиксировано переполнение <span lang="ru">хотя бы в одном из каналов АЦП.</span></p>
<p>В разряды 12..15, а также при необходимости и в разряды 10,11 могут 
добавляться индивидуальные биты состояния тетрады. Для сброса флагов 
рекомендуется использовать регистр <span lang="en-us">FLAG_CLR.</span></p>
<h3><a name="Регистр DATA">Регистр DATA</a></h3>
 
 
<p>Регистр DATA предназначен для организации
потока данных. Наиболее часто подключается
к FIFO, однако возможны и другие варианты
подключения. Число используемых разрядов
определяется конкретной тетрадой.</p>
 
 
<h3><a name="Регистр CMD_ADR">Регистр CMD_ADR</a></h3>
 
 
<table border="2" width="90%" bordercolorlight="#000000" cellpadding="2" bordercolordark="#000000" style="border-collapse: collapse" cellspacing="0">
  <tr>
    <td width="5%" align="center"><b>&nbsp;Бит</b></td>
    <td width="6%" align="center"><b>&nbsp;Название</b></td>
    <td width="66%"><b>&nbsp;Описание</b></td>
  </tr>
  <tr>
    <td width="5%" align="center">7..0</td>
    <td width="6%" align="center">REG</td>
    <td width="66%">&nbsp;Номер регистра</td>
  </tr>
  <tr>
    <td width="5%" align="center">9..8</td>
    <td width="6%" align="center">GROUP</td>
    <td width="66%">&nbsp;Группа регистров:<br>
      &nbsp;00 - командные регистры<br>
      &nbsp;01 - константы<br>
      &nbsp;10 - непосредственные регистры<br>
      &nbsp;11 - резерв</td>
  </tr>
</table>
<p>Регистр CMD_ADR определяет номер косвенного
регистра, к которому будет производится
обращения через регистр CMD_DATA.</p>
<h3><a name="Регистр CMD_DATA">Регистр CMD_DATA</a></h3>
 
 
<table border="2" width="90%" bordercolorlight="#000000" cellpadding="2" bordercolordark="#000000" style="border-collapse: collapse" cellspacing="0">
  <tr>
    <td width="5%" align="center"><b>&nbsp;Бит</b></td>
    <td width="6%" align="center"><b>&nbsp;Название</b></td>
    <td width="66%"><b>&nbsp;Описание</b></td>
  </tr>
  <tr>
    <td width="5%" align="center">15..0</td>
    <td width="6%" align="center">CMD_DATA</td>
    <td width="66%">&nbsp;Данные косвенного регистра</td>
  </tr>
</table>
<p>Через регистр CMD_DATA производится
обращение к косвенным регистрам.</p>
<h3><a name="Регистр MODE0">Регистр MODE0</a></h3>
 
 
<table border="2" width="90%" bordercolorlight="#000000" cellspacing="0" cellpadding="2" bordercolordark="#000000" style="border-collapse: collapse">
  <tr>
    <td width="5%" align="center"><b>&nbsp;Бит</b></td>
    <td width="6%" align="center"><b>&nbsp;Название</b></td>
    <td width="66%"><b>&nbsp;Описание</b></td>
  </tr>
  <tr>
    <td width="5%" align="center">0</td>
    <td width="6%" align="center">RST</td>
    <td width="66%">&nbsp;Тетрада MAIN:&nbsp; 1 -&nbsp; сброс
      ПЛИС<br>
      &nbsp;Остальные тетрады: 1 - сброс тетрады</td>
  </tr>
  <tr>
    <td width="5%" align="center">1</td>
    <td width="6%" align="center">FIFO_RST</td>
    <td width="66%">&nbsp;1 - сброс FIFO</td>
  </tr>
  <tr>
    <td width="5%" align="center">2</td>
    <td width="6%" align="center">IRQ_EN</td>
    <td width="66%">&nbsp;1 - разрешение формирования
      прерываний от тетрады</td>
  </tr>
  <tr>
    <td width="5%" align="center">3</td>
    <td width="6%" align="center">DRQ_EN</td>
    <td width="66%">&nbsp;1 - разрешение формирования
      запроса DMA от тетрады</td>
  </tr>
  <tr>
    <td width="5%" align="center">4</td>
    <td width="6%" align="center">MASTER</td>
    <td width="66%">&nbsp;Тетрада MAIN:<br>
      &nbsp;&nbsp; 1 - работа модуля в режиме MASTER<br>
      &nbsp;&nbsp; 0 - работа модуля в режиме SLAVE<br>
      &nbsp;Остальные тетрады:<br>
      &nbsp;&nbsp; 1 - работа тетрады в режиме SINGLE<br>
      &nbsp;&nbsp; 0 - работа тетрады в режиме SLAVE</td>
  </tr>
  <tr>
    <td width="5%" align="center">5</td>
    <td width="6%" align="center">START</td>
    <td width="66%">&nbsp; 1 - программный старт</td>
  </tr>
  <tr>
    <td width="5%" align="center">6</td>
    <td width="6%" align="center">ADM_MSYNC</td>
    <td width="66%">&nbsp; Выбор источника тактовой
      частоты:<br>
      &nbsp;&nbsp; 1 - источник на субмодуле<br>
      &nbsp;&nbsp; 0 - источник выбирается FMODE[GEN]</td>
  </tr>
  <tr>
    <td width="5%" align="center">7</td>
    <td width="6%" align="center">RT</td>
    <td width="66%">&nbsp;1 - <span lang="ru">включить циклический режим работы
	</span>FIFO</td>
  </tr>
  <tr>
    <td width="5%" align="center">8</td>
    <td width="6%" align="center">CNT0_EN</td>
    <td width="66%">&nbsp;1 - разрешение работы счётчика
      CNT0</td>
  </tr>
  <tr>
    <td width="5%" align="center">9</td>
    <td width="6%" align="center">CNT1_EN</td>
    <td width="66%">&nbsp;1 - разрешение работы счётчика
      CNT1</td>
  </tr>
  <tr>
    <td width="5%" align="center">10</td>
    <td width="6%" align="center">CNT2_EN</td>
    <td width="66%">&nbsp;1 - разрешение работы счётчика
      CNT2</td>
  </tr>
  <tr>
    <td width="5%" align="center">11</td>
    <td width="6%" align="center">-</td>
    <td width="66%">&nbsp;Резерв</td>
  </tr>
  <tr>
    <td width="5%" align="center">13..12</td>
    <td width="6%" align="center">DRQ_FLAG</td>
    <td width="66%">&nbsp;Выбор источника запроса DMA:<br>
      &nbsp; 00 - PAE=1 для FIFO ввода, PAF=1 для FIFO вывода<br>
      &nbsp; 01 - RDY=1&nbsp;<br>
      &nbsp; 10 - HF=0 для FIFO ввода, HF=1 для FIFO вывода<br>
      &nbsp; 11 - резерв</td>
  </tr>
  <tr>
    <td width="5%" align="center">14</td>
    <td width="6%" align="center">-</td>
    <td width="66%">&nbsp;Резерв</td>
  </tr>
  <tr>
    <td width="5%" align="center">15</td>
    <td width="6%" align="center">EXTFIFO_EN</td>
    <td width="66%">&nbsp;1 - <span lang="ru">переключение тетрады в режим 
	работы с внешним </span>FIFO. <span lang="ru">Если такой поддержки тетрада 
	не имеет, то содержимое поля не имеет значения. </span></td>
  </tr>
</table>
<p>Регистр MODE0 предназначен для реализации
общего управления тетрадой.</p>
<p><span lang="ru">Бит </span>RST<span lang="ru">=1 приводит с сбросу всех 
регистров тетрады. Командные регистры устанавливаются в 0. При сбросе, после 
формирования </span>RST=1<span lang="ru">, необходимо во все командные регистры 
прописать значение 0. Это необходимо для приведения в исходное состояние 
теневого ОЗУ.</span></p>
<p><span lang="ru">Бит </span>RT=1 <span lang="ru">включает циклический режим 
работы </span>FIFO. <span lang="ru">Этот режим поддерживается для тетрад вывода 
данных. Бит </span>RT=1 <span lang="ru">должен быть установлен после записи 
всего массива данных в </span>FIFO <span lang="ru">и перед разрешением вывода 
данных.</span></p>
<p>&nbsp;</p>
<h3><a name="Регистр STMODE">Регистр STMODE</a></h3>
 
 
<table border="2" width="90%" bordercolorlight="#000000" cellspacing="0" cellpadding="2" bordercolordark="#000000" style="border-collapse: collapse">
  <tr>
    <td width="5%" align="center"><b>&nbsp;Бит</b></td>
    <td width="6%" align="center"><b>&nbsp;Название</b></td>
    <td width="66%"><b>&nbsp;Описание</b></td>
  </tr>
  <tr>
    <td width="5%" align="center">4..0</td>
    <td width="6%" align="center">MSTART</td>
    <td width="66%">&nbsp;Выбор сигнала старта</td>
  </tr>
  <tr>
    <td width="5%" align="center">5</td>
    <td width="6%" align="center">-</td>
    <td width="66%">&nbsp;Резерв</td>
  </tr>
  <tr>
    <td width="5%" align="center">6</td>
    <td width="6%" align="center">START_INV</td>
    <td width="66%">&nbsp;1 - инверсия сигнала старта</td>
  </tr>
  <tr>
    <td width="5%" align="center">7</td>
    <td width="6%" align="center">TRIGSTART</td>
    <td width="66%">&nbsp;1 - триггерный старт</td>
  </tr>
  <tr>
    <td width="5%" align="center">12..8</td>
    <td width="6%" align="center">MSTOP</td>
    <td width="66%">&nbsp;Выбор сигнала останова при
      триггерном старте</td>
  </tr>
  <tr>
    <td width="5%" align="center">13</td>
    <td width="6%" align="center">-</td>
    <td width="66%">&nbsp;Резерв</td>
  </tr>
  <tr>
    <td width="5%" align="center">14</td>
    <td width="6%" align="center">STOP_INV</td>
    <td width="66%">&nbsp;1 - инверсия сигнала останова</td>
  </tr>
  <tr>
    <td width="5%" align="center">15&nbsp;</td>
    <td width="6%" align="center"><span lang="en-us">START_AUTO</span></td>
    <td width="66%">&nbsp;1 - режим сбора с автоматическими перезапуском</td>
  </tr>
</table>
<p>Поля MSTART, MSTOP выбирают источник старта и
останова из сетки сигналов старта, которая
определяется ПЛИС. Описание сетки сигналов
приводится в описании конкретной прошивки
ПЛИС.</p>
<p>Режим сбора с автоматическим перезапуском функционирует следующим образом: в 
режиме триггерного старта по событию происходит запуск сбора данных. Блок данных 
собирается либо в режиме претриггера, либо без, в количестве данных, заданном в 
регистре <span lang="en-us"><a href="#Регистр CNT1">CNT1</a>. </span>По 
завершении сбора блока автоматически, без участия программы, происходит переход 
в режим ожидания следующего события старта.&nbsp; В режиме претриггера значение
<span lang="en-us">CNT1 </span>должно быть задано не меньше заданного размера 
претриггера</p>
<h3><a name="Регистр FMODE">Регистр FMODE</a></h3>
 
 
<table border="2" width="90%" bordercolorlight="#000000" cellspacing="0" cellpadding="2" bordercolordark="#000000" style="border-collapse: collapse">
  <tr>
    <td width="5%" align="center"><b>&nbsp;Бит</b></td>
    <td width="6%" align="center"><b>&nbsp;Название</b></td>
    <td width="66%"><b>&nbsp;Описание</b></td>
  </tr>
  <tr>
    <td width="5%" align="center">4..0</td>
    <td width="6%" align="center">GEN</td>
    <td width="66%">&nbsp;Выбор источника тактовой
      частоты</td>
  </tr>
  <tr>
    <td width="5%" align="center">14..5</td>
    <td width="6%" align="center">-</td>
    <td width="66%">&nbsp;Резерв</td>
  </tr>
  <tr>
    <td width="5%" align="center">15</td>
    <td width="6%" align="center">START_RST</td>
    <td width="66%">&nbsp;1 - сброс счётчика делителя
      тактовой частоты</td>
  </tr>
</table>
<br>
Поле GEN выбирает источник тактовой частоты
из сетки частот, которая определяется ПЛИС.
Описание сетки частот приводится в
описании конкретной прошивки ПЛИС. Выбор
источника через регистр FMODE производится
для тетрады, которая установлена в режим
MASTER или SINGLE и MODE0[ADM_MSYNC]=0.<br>
При установке START_RST=1, при возникновении
условия старта производится сброс счётчика
делителя тактовой частота. Это позволяет
привязать фазу тактового сигнала к событию
старта. Приостановка сбора данных по
счётчикам CNT0, CNT2 не сбрасывает счётчик
делителя тактовой частоты.<br>
Для отдельных тетрад назначение разрядов и
выбор источника может изменяться.<br>
<h3><a name="Регистр FDIV">Регистр FDIV</a></h3>
 
 
<table border="2" width="90%" bordercolorlight="#000000" cellspacing="0" cellpadding="2" bordercolordark="#000000" style="border-collapse: collapse">
  <tr>
    <td width="5%" align="center"><b>&nbsp;Бит</b></td>
    <td width="6%" align="center"><b>&nbsp;Название</b></td>
    <td width="66%"><b>&nbsp;Описание</b></td>
  </tr>
  <tr>
    <td width="5%" align="center">15..0</td>
    <td width="6%" align="center">CMD_DATA</td>
    <td width="66%">&nbsp;Данные косвенного регистра</td>
  </tr>
</table>
<br>Регистр FDIV определяет коэффициент
деления тактовой частоты. Минимальный
коэффициент деления 1. Максимальный 0.
<h3><a name="Регистр CNT0">Регистр CNT0</a></h3>
 
 
<table border="2" width="90%" bordercolorlight="#000000" cellspacing="0" cellpadding="2" bordercolordark="#000000" style="border-collapse: collapse">
  <tr>
    <td width="5%" align="center"><b>&nbsp;Бит</b></td>
    <td width="6%" align="center"><b>&nbsp;Название</b></td>
    <td width="66%"><b>&nbsp;Описание</b></td>
  </tr>
  <tr>
    <td width="5%" align="center">15..0</td>
    <td width="6%" align="center">CNT0</td>
    <td width="66%">&nbsp;Счётчик начальной задержки</td>
  </tr>
</table>
<p>Регистр счётчика начальной задержки. При
разрешении MODE0[CNT0_EN]=1, разрешение работы
будет задержано на значение CNT0. Если работа
счётчика запрещена, то разрешение работы
будет сразу после возникновения условия
старта.</p>
<h3><a name="Регистр CNT1">Регистр CNT1</a></h3>
 
 
<table border="2" width="90%" bordercolorlight="#000000" cellspacing="0" cellpadding="2" bordercolordark="#000000" style="border-collapse: collapse">
  <tr>
    <td width="5%" align="center"><b>&nbsp;Бит</b></td>
    <td width="6%" align="center"><b>&nbsp;Название</b></td>
    <td width="66%"><b>&nbsp;Описание</b></td>
  </tr>
  <tr>
    <td width="5%" align="center">15..0</td>
    <td width="6%" align="center">CNT1</td>
    <td width="66%">&nbsp;Счётчик принимаемых данных.</td>
  </tr>
</table>
<p>Регистр счётчика принимаемых данных. При
разрешении MODE0[CNT1_EN]=1, разрешение работы
будет выдано только на число тактов CNT1. Если
разрешена работа счётчика CNT2, то разрешение
работы будет заблокировано на число тактов
CNT2 и далее цикл разрешения и запрета будет
повторяться. Если работа счётчика
запрещена, то будет произведён только один
цикл разрешения работы.&nbsp;<br>
Если работа счётчика CNT1 запрещена, то
разрешение работы будет сразу после
возникновения условия страта и разрешения
по счётчику CNT0.</p>
<h3><a name="Регистр CNT2">Регистр CNT2</a></h3>
 
 
<table border="2" width="90%" bordercolorlight="#000000" cellspacing="0" cellpadding="2" bordercolordark="#000000" style="border-collapse: collapse">
  <tr>
    <td width="5%" align="center"><b>&nbsp;Бит</b></td>
    <td width="6%" align="center"><b>&nbsp;Название</b></td>
    <td width="66%"><b>&nbsp;Описание</b></td>
  </tr>
  <tr>
    <td width="5%" align="center">15..0</td>
    <td width="6%" align="center">CNT2</td>
    <td width="66%">&nbsp;Счётчик пропускаемых данных</td>
  </tr>
</table>
<p>&nbsp;Счётчик определяет число тактов, на
которое снимается сигнал разрешение работы.</p>
<h3><a name="Регистр ID">Регистр ID</a></h3>
 
 
<table border="2" width="90%" bordercolorlight="#000000" cellspacing="0" cellpadding="2" bordercolordark="#000000" style="border-collapse: collapse">
  <tr>
    <td width="5%" align="center"><b>&nbsp;Бит</b></td>
    <td width="6%" align="center"><b>&nbsp;Название</b></td>
    <td width="66%"><b>&nbsp;Описание</b></td>
  </tr>
  <tr>
    <td width="5%" align="center">15..0</td>
    <td width="6%" align="center">ID</td>
    <td width="66%">&nbsp;Идентификатор тетрады</td>
  </tr>
</table>
<p>Регистр определяет уникальный
идентификатор тетрады.</p>
<h3><a name="Регистр ID_MODE">Регистр ID_MODE</a></h3>
 
 
<table border="2" width="90%" bordercolorlight="#000000" cellspacing="0" cellpadding="2" bordercolordark="#000000" style="border-collapse: collapse">
  <tr>
    <td width="5%" align="center"><b>&nbsp;Бит</b></td>
    <td width="6%" align="center"><b>&nbsp;Название</b></td>
    <td width="66%"><b>&nbsp;Описание</b></td>
  </tr>
  <tr>
    <td width="5%" align="center">15..0</td>
    <td width="6%" align="center">ID_MODE</td>
    <td width="66%">&nbsp;Модификатор тетрады</td>
  </tr>
</table>
<p>Регистр определяет модификатор
исполнения тетрады. Тетрада может быть
разработана в различных исполнениях,
например с различной шириной и размером FIFO.
С использованием или без использования
счётчиков CNT0..CNT2 и т.д. Одновременно
могут&nbsp;использоваться несколько тетрад с
различным модификатором.</p>
<h3><a name="Регистр VER">Регистр VER</a></h3>
 
 
<table border="2" width="90%" bordercolorlight="#000000" cellspacing="0" cellpadding="2" bordercolordark="#000000" style="border-collapse: collapse">
  <tr>
    <td width="5%" align="center"><b>&nbsp;Бит</b></td>
    <td width="6%" align="center"><b>&nbsp;Название</b></td>
    <td width="66%"><b>&nbsp;Описание</b></td>
  </tr>
  <tr>
    <td width="5%" align="center">7..0</td>
    <td width="6%" align="center">V0</td>
    <td width="66%">&nbsp;Поле V0</td>
  </tr>
  <tr>
    <td width="5%" align="center">15..8</td>
    <td width="6%" align="center">V1</td>
    <td width="66%">&nbsp;Поле V1</td>
  </tr>
</table>
<p>Регистр определяет версию тетрады. Поле V0
изменяется при незначительных изменениях
алгоритма работы, исправлении ошибок. Поле
V1 изменяется при изменении состава
регистров или существенных изменениях
алгоритма работы.</p>
<h3><a name="Регистр TRES">Регистр TRES</a></h3>
 
 
<table border="2" width="90%" bordercolorlight="#000000" cellspacing="0" cellpadding="2" bordercolordark="#000000" style="border-collapse: collapse">
  <tr>
    <td width="5%" align="center"><b>&nbsp;Бит</b></td>
    <td width="6%" align="center"><b>&nbsp;Название</b></td>
    <td width="66%"><b>&nbsp;Описание</b></td>
  </tr>
  <tr>
    <td width="5%" align="center">3..0</td>
    <td width="6%" align="center">-</td>
    <td width="66%">&nbsp;Резерв</td>
  </tr>
  <tr>
    <td width="5%" align="center">4</td>
    <td width="6%" align="center">FIFO_IN</td>
    <td width="66%">&nbsp;1 - тетрада имеет FIFO для чтения
      данных процессором</td>
  </tr>
  <tr>
    <td width="5%" align="center">5</td>
    <td width="6%" align="center">FIFO_OUT</td>
    <td width="66%">&nbsp;1 - тетрада имеет FIFO для вывода
      данных из процессора</td>
  </tr>
  <tr>
    <td width="5%" align="center">6</td>
    <td width="6%" align="center">SFLAG_E</td>
    <td width="66%">&nbsp;1 - тетрада может
      устанавливать уровень срабатывания
      флаг<span lang="ru">а </span>PAE FIFO <span lang="ru">тетрады</span></td>
  </tr>
  <tr>
    <td width="5%" align="center"><span lang="ru">7</span></td>
    <td width="6%" align="center">SFLAG_F</td>
    <td width="66%"><span lang="ru">&nbsp;</span>1 - тетрада может
      устанавливать уровень срабатывания
      флаг<span lang="ru">а </span>PAF FIFO<span lang="ru"> тетрады</span></td>
  </tr>
  <tr>
    <td width="5%" align="center"><span lang="ru">8</span></td>
    <td width="6%" align="center">PRETRIG</td>
    <td width="66%">&nbsp;1 - <span lang="ru">тетрада поддерживает работу в 
	режиме претриггера</span></td>
  </tr>
  <tr>
    <td width="5%" align="center"><span lang="ru">9</span></td>
    <td width="6%" align="center">EXTFIFO</td>
    <td width="66%">&nbsp;1 - <span lang="ru">тетрада поддерживает внешнее
	</span>FIFO</td>
  </tr>
  <tr>
    <td width="5%" align="center">15..<span lang="ru">10</span></td>
    <td width="6%" align="center">-</td>
    <td width="66%">&nbsp;Резерв</td>
  </tr>
</table>
<p>Регистр определяет ресурсы тетрады.</p>
<h3><a name="Регистр FSIZE">Регистр FSIZE</a></h3>
 
 
<table border="2" width="90%" bordercolorlight="#000000" cellspacing="0" cellpadding="2" bordercolordark="#000000" style="border-collapse: collapse">
  <tr>
    <td width="5%" align="center"><b>&nbsp;Бит</b></td>
    <td width="6%" align="center"><b>&nbsp;Название</b></td>
    <td width="66%"><b>&nbsp;Описание</b></td>
  </tr>
  <tr>
    <td width="5%" align="center">15..0</td>
    <td width="6%" align="center">SIZE</td>
    <td width="66%">&nbsp;Размер FIFO</td>
  </tr>
</table>
<p>Регистр определяет число слов в FIFO. Если
значение регистра 0,&nbsp; а в регистре TRES
указано наличие FIFO, то размер FIFO должен
определятся по другим источникам.</p>
<h3><a name="Регистр PFSIZE">Регистр PFSIZE</a></h3>
 
 
<table border="2" width="90%" bordercolorlight="#000000" cellspacing="0" cellpadding="2" bordercolordark="#000000" style="border-collapse: collapse" id="table3">
  <tr>
    <td width="5%" align="center"><b>&nbsp;Бит</b></td>
    <td width="6%" align="center"><b>&nbsp;Название</b></td>
    <td width="66%"><b>&nbsp;Описание</b></td>
  </tr>
  <tr>
    <td width="5%" align="center">15..0</td>
    <td width="6%" align="center">SIZE</td>
    <td width="66%">&nbsp;Размер FIFO</td>
  </tr>
</table>
<p>Регистр определяет число слов в FIFO <span lang="ru">претриггера</span>. Если
значение регистра 0,&nbsp; <span lang="ru">то претриггер реализован на базе 
основного </span>FIFO <span lang="ru">тетрады</span>.</p>
<h3><a name="Регистр FTYPE">Регистр FTYPE</a></h3>
 
 
<table border="2" width="90%" bordercolorlight="#000000" cellspacing="0" cellpadding="2" bordercolordark="#000000" style="border-collapse: collapse">
  <tr>
    <td width="5%" align="center"><b>&nbsp;Бит</b></td>
    <td width="6%" align="center"><b>&nbsp;Название</b></td>
    <td width="66%"><b>&nbsp;Описание</b></td>
  </tr>
  <tr>
    <td width="5%" align="center">7..0</td>
    <td width="6%" align="center">SIZE</td>
    <td width="66%">&nbsp;Число разрядов шины данных FIFO,
      подключённых к локальной шине</td>
  </tr>
</table>
<p>Регистр определяет ширину&nbsp; FIFO со
стороны локальной шины.</p>
<h3><a name="Регистр PATH">Регистр PATH</a></h3>
 
 
<table border="2" width="90%" bordercolorlight="#000000" cellspacing="0" cellpadding="2" bordercolordark="#000000" style="border-collapse: collapse">
  <tr>
    <td width="5%" align="center"><b>&nbsp;Бит</b></td>
    <td width="6%" align="center"><b>&nbsp;Название</b></td>
    <td width="66%"><b>&nbsp;Описание</b></td>
  </tr>
  <tr>
    <td width="5%" align="center">7..0</td>
    <td width="6%" align="center">IN</td>
    <td width="66%">&nbsp;Подключение входа данных
      тетрады</td>
  </tr>
  <tr>
    <td width="5%" align="center">15..8</td>
    <td width="6%" align="center">OUT</td>
    <td width="66%">&nbsp;Подключение выхода данных
      тетрады</td>
  </tr>
</table>
<p>Регистр определяет <span lang="ru">подключение </span>FIFO <span lang="ru">
тетрады.</span></p>
<h3><a name="Коды полей IN, OUT регистра PATH">Коды
полей IN, OUT регистра PATH</a></h3>
 
 
<table border="2" width="90%" bordercolorlight="#000000" cellspacing="0" cellpadding="2" bordercolordark="#000000" style="border-collapse: collapse">
  <tr>
    <td width="5%" align="center"><b>Значение</b></td>
    <td width="6%" align="center"><b>Название</b></td>
    <td width="66%"><b>&nbsp;Описание</b></td>
  </tr>
  <tr>
    <td width="5%" align="center">0</td>
    <td width="6%" align="center">-</td>
    <td width="66%">&nbsp;Не подключено</td>
  </tr>
  <tr>
    <td width="5%" align="center">1</td>
    <td width="6%" align="center">LB</td>
    <td width="66%">&nbsp;Локальная шина</td>
  </tr>
  <tr>
    <td width="5%" align="center">2</td>
    <td width="6%" align="center">ADM</td>
    <td width="66%">&nbsp;Разъём ADM</td>
  </tr>
  <tr>
    <td width="5%" align="center">3</td>
    <td width="6%" align="center">DAC0</td>
    <td width="66%">&nbsp;ЦАП0</td>
  </tr>
  <tr>
    <td width="5%" align="center">4</td>
    <td width="6%" align="center">DAC1</td>
    <td width="66%">&nbsp;ЦАП1</td>
  </tr>
  <tr>
    <td width="5%" align="center">5</td>
    <td width="6%" align="center">DAC01</td>
    <td width="66%">&nbsp;ЦАП0 и ЦАП1</td>
  </tr>
  <tr>
    <td width="5%" align="center">6</td>
    <td width="6%" align="center">PIOX</td>
    <td width="66%">&nbsp;Разъём PIOX</td>
  </tr>
  <tr>
    <td width="5%" align="center">7</td>
    <td width="6%" align="center">-</td>
    <td width="66%">&nbsp;Резерв</td>
  </tr>
  <tr>
    <td width="5%" align="center">23..8</td>
    <td width="6%" align="center">TRDx</td>
    <td width="66%">&nbsp;Тетрада x, x - 7..0</td>
  </tr>
</table>
<h3><a name="Регистр ID_NUM">Регистр ID_NUM</a></h3>
 
 
<table border="2" width="90%" bordercolorlight="#000000" cellspacing="0" cellpadding="2" bordercolordark="#000000" style="border-collapse: collapse">
  <tr>
    <td width="5%" align="center"><b>&nbsp;Бит</b></td>
    <td width="6%" align="center"><b>&nbsp;Название</b></td>
    <td width="66%"><b>&nbsp;Описание</b></td>
  </tr>
  <tr>
    <td width="5%" align="center">15..0</td>
    <td width="6%" align="center">CNT</td>
    <td width="66%">&nbsp;Номер экземпляра тетрады</td>
  </tr>
</table>
<p>Регистр определяет номер экземпляра
тетрада. Если в прошивке ПЛИС находятся две
тетрады с одним ID, то у них будут разные
значения ID_NUM. У первой тетрады значение 1, у
второй тетрады значение 2.</p>
<p>&nbsp;</p>
<h3><span lang="ru">Индивидуальные регистры</span></h3>
<p><span lang="ru">В данном разделе приведены сведения о предполагаемом 
назначении регистров тетрады. Точное назначение регистров приводится в описании 
конкретной тетрады или в описании конкретной прошивки ПЛИС.</span></p>
<table border="2" width="100%" id="table2" cellspacing="0" cellpadding="5" style="border-collapse: collapse" bordercolorlight="#000000" bordercolordark="#000000">
	<tr>
		<td width="102" align="center">MODE1</td>
		<td><span lang="ru">Модификация потока данных. Используется для 
		переключения выхода или входа потока данных. Назначение регистра может 
		быть разным для одной тетрады, реализованной на разных базовых модулях. 
		Если регистр используется, то его описание приводится в описании 
		конкретной прошивки ПЛИС.<br>
		В некоторых тетрадах в этом регистре реализован выбор каналов и 
		управление субмодулем. </span></td>
	</tr>
	<tr>
		<td width="102" align="center">MODE2, MODE3</td>
		<td><span lang="ru">Дополнительные регистры управления. Рекомендуется 
		использовать для управления ресурсами базового модуля.</span></td>
	</tr>
	<tr>
		<td width="102" align="center">SFLAG_PAE</td>
		<td><span lang="ru">Установка уровня срабатывания флага почти пустого
		</span>FIFO. <span lang="ru">Реализуется для некоторых тетрад.</span></td>
	</tr>
	<tr>
		<td width="102" align="center">SFLAG_PAF</td>
		<td><span lang="ru">Установка уровня срабатывания флага почти полного
		</span>FIFO. <span lang="ru">Реализуется для некоторых тетрад.</span></td>
	</tr>
	<tr>
		<td width="102" align="center">PRT_MODE</td>
		<td><span lang="ru">Регистр управления режимом претриггера.</span></td>
	</tr>
	<tr>
		<td width="102" align="center">TL_MODE</td>
		<td><span lang="ru">Регистр управляет записью заголовка в блок данных.</span></td>
	</tr>
	<tr>
		<td width="102" align="center">CHANL</td>
		<td><span lang="ru">Выбор канала данных. Выбираются каналы 0-15. </span>
		</td>
	</tr>
	<tr>
		<td width="102" align="center">CHANH</td>
		<td><span lang="ru">Выбор канала данных. Выбираются каналы 16-31. Для 
		субмодулей с числом каналов до 16 регистр не реализуется.</span></td>
	</tr>
	<tr>
		<td width="102" align="center">FORMAT</td>
		<td><span lang="ru">Выбор формата данных.<br>
		В этом регистре производится включение восьми разрядной упаковки данных. 
		Возможно применение других форматов, например преобразование в формат с 
		плавающей точкой.</span></td>
	</tr>
	<tr>
		<td width="102" align="center">FSRC</td>
		<td><span lang="ru">Выбор источника тактовой частоты на субмодуле. <br>
		Этот регистр используется для тех субмодулей, которые имеют схему 
		формирования тактовой частоты.</span></td>
	</tr>
	<tr>
		<td width="102" align="center">FDVR</td>
		<td><span lang="ru">Выбор коэффициента деления тактовой частоты на 
		субмодуле.<br>
		Этот регистр используется для тех субмодулей, которые имеют схему 
		формирования тактовой частоты.</span></td>
	</tr>
	<tr>
		<td width="102" align="center">GAIN</td>
		<td><span lang="ru">Выбор коэффициента передачи.<br>
		Значение 0 в регистре выбирает наименьший коэффициент передачи 
		(максимальный входной сигнал)</span></td>
	</tr>
	<tr>
		<td width="102" align="center">INP</td>
		<td><span lang="ru">Выбор режима входа.<br>
		В этом регистре находятся управления закрытым и открытым входом АЦП, 
		выбор входного сопротивления входа и т.д.</span></td>
	</tr>
	<tr>
		<td width="102" align="center">CONTROL1</td>
		<td><span lang="ru">Дополнительный регистр управления субмодулем.<br>
		В этом регистре находятся дополнительные биты управления, которые не 
		попадают в стандартные регистры.<br>
		Например в этом регистре могут находится биты включения удвоения 
		тактовой частоты, переключения входов АЦП и т.д.</span></td>
	</tr>
	<tr>
		<td width="102" align="center">FLAG_CLR</td>
		<td><span lang="ru">Сброс флагов регистра </span>STATUS.<span lang="ru">
		<br>
		Этот регистр используется для сброса индивидуальных флагов в регистре
		</span>STATUS.<span lang="ru"><br>
		Запись в регистр сбрасывает флаги в регистре </span>STATUS. </td>
	</tr>
	<tr>
		<td width="102" align="center">ADC_OR</td>
		<td><span lang="ru">Регистр </span>п<span lang="ru">ереполнения АЦП. <br>
		Чтение регистра возвращает маску каналов, в которых произошло 
		переполнение.<br>
		Запись в регистр сбрасывает соответствующие биты переполнения.</span></td>
	</tr>
	<tr>
		<td width="102" align="center">PRT_STATUS</td>
		<td><span lang="ru">Регистр состояния претриггера. Указывает номер 
		отсчёта в слове при котором произошло событие старта.</span></td>
	</tr>
	<tr>
		<td width="102" align="center">PRT_CNTL</td>
		<td><span lang="ru">Номер слова в считанном массиве при котором 
		произошло событие старта. Младшие разряды.</span></td>
	</tr>
	<tr>
		<td width="102" align="center">PRT_CNTH</td>
		<td><span lang="ru">Номер слова в считанном массиве при котором 
		произошло событие старта. Старшие разряды.</span></td>
	</tr>
	<tr>
		<td width="102" align="center">TL_ADR</td>
		<td><span lang="ru">Регистр адреса заголовка.</span></td>
	</tr>
	<tr>
		<td width="102" align="center">TL_DATA</td>
		<td><span lang="ru">Регистр данных заголовка.</span></td>
	</tr>
</table>
<p>&nbsp;</p>
<hr>
<p>ЗАО &quot;Инструментальные Системы&quot;</p>
<p>&nbsp;</p>
<p>&nbsp;</p>
<p>&nbsp;</p>
<p>&nbsp;</p>
 
 
</Body>
</Html>

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.