OpenCores
URL https://opencores.org/ocsvn/pdp8/pdp8/trunk

Subversion Repositories pdp8

[/] [pdp8/] [trunk/] [pdp8/] [ms8c.vhd] - Rev 2

Compare with Previous | Blame | View Log

 
-- DO NOT EDIT THIS FILE.  IT WAS CREATED ELECTRONICALLY!
 
-- ../rim2vhdl test.bin test.vhd
--------------------------------------------------------------------
--!
--! PDP-8 Processor
--!
--! \brief
--!      MS8C Internal RAM
--!
--! \details
--!      The RAM is initialzed as follows:
--!      -# RK8E Bootstrap at location 0023
--!      -# BIN Loader at location 7626-7755
--!      -# RIM Loader at location 7756-7777
--!
--!      The RK8E bootstrap process is a little odd.  The code
--!      initializes the disk controller and then enters an
--!      inifinite loop at addreses 0030 and 0031.  The memory
--!      address that was programmed into the controller is
--!      address 0000 so the disk writes over top of the code
--!      that is currently executing.
--!
--! \file
--!      ms8c.vhd
--!
--! \author
--!      Rob Doyle - doyle (at) cox (dot) net
--!
--------------------------------------------------------------------
--
--  Copyright (C) 2009, 2010, 2011, 2012 Rob Doyle
--
-- This source file may be used and distributed without
-- restriction provided that this copyright statement is not
-- removed from the file and that any derivative work contains
-- the original copyright notice and the associated disclaimer.
--
-- This source file is free software; you can redistribute it
-- and/or modify it under the terms of the GNU Lesser General
-- Public License as published by the Free Software Foundation;
-- version 2.1 of the License.
--
-- This source is distributed in the hope that it will be
-- useful, but WITHOUT ANY WARRANTY; without even the implied
-- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR
-- PURPOSE. See the GNU Lesser General Public License for more
-- details.
--
-- You should have received a copy of the GNU Lesser General
-- Public License along with this source; if not, download it
-- from http://www.gnu.org/licenses/lgpl.txt
--
--------------------------------------------------------------------
--
-- Comments are formatted for doxygen
--
 
library ieee;                                                   --! IEEE Library
use ieee.std_logic_1164.all;                                    --! IEEE 1164
use ieee.numeric_std.all;                                       --! IEEE Numeric Standard
use work.cpu_types.all;                                         --! CPU Types
 
--
--! MS8C Internal RAM Entity
--
 
entity eMS8C is port (
    sys : in  sys_t;                                            --! Clock and Reset
    cpu : in  cpu_t;                                            --! CPU Info
    dev : out dev_t                                             --! Device Info
);
end eMS8C;
 
--
--! MS8C Internal RAM RTL
--
 
architecture rtl of eMS8C is
 
    signal ramWr   : std_logic;
    signal xaddr   : xaddr_t;
    signal ramData : data_t;
    signal cpuData : data_t;
 
    type RAM_t is array (0 to 32767) of data_t;
    signal RAM : RAM_t := (
        --Boot Loaders
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00000
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00010
        o"0000", o"0000", o"0000", o"6007", o"6744", o"1032", o"6746", o"6743", -- 00020
        o"1032", o"5031", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00030
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00040
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00050
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00060
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00070
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00100
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00110
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00120
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00130
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00140
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00150
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00160
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00170
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00200
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00210
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00220
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00230
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00240
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00250
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00260
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00270
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00300
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00310
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00320
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00330
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00340
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00350
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00360
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00370
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00400
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00410
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00420
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00430
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00440
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00450
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00460
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00470
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00500
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00510
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00520
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00530
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00540
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00550
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00560
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00570
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00600
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00610
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00620
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00630
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00640
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00650
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00660
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00670
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00700
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00710
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00720
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00730
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00740
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00750
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00760
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 00770
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01000
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01010
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01020
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01030
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01040
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01050
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01060
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01070
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01100
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01110
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01120
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01130
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01140
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01150
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01160
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01170
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01200
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01210
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01220
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01230
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01240
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01250
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01260
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01270
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01300
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01310
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01320
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01330
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01340
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01350
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01360
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01370
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01400
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01410
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01420
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01430
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01440
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01450
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01460
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01470
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01500
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01510
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01520
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01530
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01540
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01550
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01560
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01570
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01600
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01610
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01620
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01630
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01640
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01650
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01660
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01670
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01700
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01710
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01720
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01730
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01740
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01750
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01760
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 01770
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02000
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02010
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02020
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02030
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02040
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02050
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02060
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02070
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02100
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02110
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02120
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02130
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02140
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02150
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02160
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02170
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02200
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02210
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02220
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02230
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02240
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02250
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02260
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02270
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02300
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02310
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02320
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02330
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02340
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02350
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02360
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02370
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02400
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02410
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02420
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02430
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02440
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02450
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02460
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02470
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02500
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02510
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02520
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02530
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02540
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02550
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02560
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02570
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02600
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02610
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02620
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02630
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02640
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02650
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02660
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02670
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02700
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02710
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02720
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02730
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02740
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02750
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02760
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 02770
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03000
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03010
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03020
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03030
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03040
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03050
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03060
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03070
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03100
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03110
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03120
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03130
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03140
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03150
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03160
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03170
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03200
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03210
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03220
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03230
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03240
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03250
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03260
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03270
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03300
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03310
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03320
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03330
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03340
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03350
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03360
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03370
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03400
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03410
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03420
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03430
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03440
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03450
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03460
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03470
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03500
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03510
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03520
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03530
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03540
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03550
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03560
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03570
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03600
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03610
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03620
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03630
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03640
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03650
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03660
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03670
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03700
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03710
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03720
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03730
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03740
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03750
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03760
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 03770
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04000
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04010
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04020
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04030
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04040
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04050
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04060
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04070
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04100
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04110
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04120
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04130
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04140
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04150
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04160
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04170
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04200
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04210
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04220
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04230
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04240
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04250
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04260
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04270
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04300
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04310
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04320
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04330
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04340
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04350
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04360
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04370
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04400
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04410
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04420
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04430
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04440
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04450
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04460
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04470
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04500
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04510
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04520
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04530
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04540
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04550
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04560
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04570
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04600
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04610
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04620
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04630
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04640
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04650
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04660
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04670
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04700
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04710
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04720
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04730
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04740
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04750
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04760
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 04770
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05000
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05010
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05020
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05030
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05040
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05050
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05060
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05070
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05100
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05110
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05120
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05130
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05140
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05150
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05160
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05170
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05200
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05210
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05220
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05230
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05240
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05250
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05260
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05270
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05300
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05310
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05320
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05330
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05340
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05350
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05360
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05370
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05400
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05410
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05420
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05430
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05440
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05450
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05460
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05470
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05500
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05510
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05520
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05530
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05540
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05550
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05560
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05570
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05600
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05610
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05620
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05630
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05640
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05650
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05660
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05670
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05700
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05710
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05720
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05730
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05740
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05750
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05760
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 05770
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06000
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06010
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06020
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06030
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06040
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06050
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06060
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06070
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06100
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06110
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06120
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06130
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06140
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06150
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06160
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06170
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06200
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06210
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06220
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06230
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06240
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06250
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06260
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06270
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06300
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06310
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06320
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06330
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06340
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06350
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06360
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06370
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06400
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06410
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06420
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06430
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06440
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06450
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06460
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06470
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06500
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06510
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06520
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06530
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06540
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06550
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06560
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06570
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06600
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06610
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06620
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06630
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06640
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06650
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06660
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06670
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06700
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06710
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06720
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06730
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06740
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06750
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06760
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 06770
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07000
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07010
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07020
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07030
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07040
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07050
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07060
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07070
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07100
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07110
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07120
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07130
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07140
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07150
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07160
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07170
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07200
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07210
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07220
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07230
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07240
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07250
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07260
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07270
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07300
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07310
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07320
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07330
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07340
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07350
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07360
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07370
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07400
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07410
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07420
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07430
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07440
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07450
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07460
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07470
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07500
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07510
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07520
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07530
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07540
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07550
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07560
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07570
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07600
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 07610
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"3212", -- 07620
        o"4260", o"1300", o"7750", o"5237", o"2212", o"7040", o"5227", o"1212", -- 07630
        o"7640", o"5230", o"1214", o"0274", o"1341", o"7510", o"2226", o"7750", -- 07640
        o"5626", o"1214", o"0256", o"1257", o"3213", o"5230", o"0070", o"6201", -- 07650
        o"0000", o"0000", o"6031", o"5262", o"6036", o"3214", o"1214", o"5660", -- 07660
        o"6011", o"5270", o"6016", o"5265", o"0300", o"4343", o"7041", o"1215", -- 07670
        o"7402", o"6032", o"6014", o"6214", o"1257", o"3213", o"7604", o"7700", -- 07700
        o"1353", o"1352", o"3261", o"4226", o"5313", o"3215", o"1213", o"3336", -- 07710
        o"1214", o"3376", o"4260", o"3355", o"4226", o"5275", o"4343", o"7420", -- 07720
        o"5336", o"3216", o"1376", o"1355", o"1215", o"5315", o"0000", o"3616", -- 07730
        o"2216", o"7600", o"5332", o"0000", o"1376", o"7106", o"7006", o"7006", -- 07740
        o"1355", o"5743", o"5262", o"0006", o"0000", o"0000", o"6032", o"6031", -- 07750
        o"5357", o"6036", o"7106", o"7006", o"7510", o"5357", o"7006", o"6031", -- 07760
        o"5367", o"6034", o"7420", o"3776", o"3376", o"5356", o"0000", o"5301", -- 07770
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10000
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10010
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10020
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10030
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10040
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10050
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10060
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10070
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10100
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10110
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10120
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10130
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10140
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10150
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10160
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10170
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10200
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10210
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10220
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10230
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10240
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10250
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10260
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10270
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10300
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10310
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10320
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10330
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10340
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10350
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10360
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10370
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10400
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10410
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10420
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10430
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10440
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10450
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10460
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10470
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10500
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10510
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10520
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10530
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10540
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10550
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10560
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10570
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10600
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10610
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10620
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10630
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10640
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10650
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10660
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10670
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10700
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10710
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10720
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10730
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10740
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10750
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10760
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 10770
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11000
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11010
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11020
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11030
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11040
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11050
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11060
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11070
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11100
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11110
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11120
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11130
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11140
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11150
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11160
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11170
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11200
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11210
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11220
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11230
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11240
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11250
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11260
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11270
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11300
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11310
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11320
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11330
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11340
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11350
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11360
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11370
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11400
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11410
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11420
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11430
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11440
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11450
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11460
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11470
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11500
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11510
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11520
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11530
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11540
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11550
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11560
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11570
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11600
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11610
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11620
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11630
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11640
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11650
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11660
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11670
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11700
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11710
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11720
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11730
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11740
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11750
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11760
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 11770
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12000
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12010
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12020
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12030
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12040
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12050
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12060
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12070
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12100
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12110
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12120
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12130
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12140
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12150
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12160
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12170
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12200
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12210
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12220
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12230
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12240
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12250
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12260
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12270
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12300
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12310
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12320
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12330
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12340
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12350
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12360
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12370
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12400
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12410
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12420
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12430
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12440
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12450
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12460
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12470
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12500
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12510
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12520
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12530
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12540
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12550
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12560
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12570
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12600
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12610
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12620
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12630
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12640
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12650
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12660
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12670
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12700
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12710
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12720
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12730
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12740
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12750
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12760
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 12770
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13000
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13010
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13020
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13030
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13040
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13050
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13060
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13070
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13100
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13110
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13120
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13130
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13140
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13150
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13160
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13170
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13200
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13210
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13220
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13230
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13240
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13250
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13260
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13270
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13300
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13310
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13320
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13330
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13340
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13350
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13360
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13370
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13400
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13410
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13420
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13430
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13440
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13450
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13460
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13470
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13500
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13510
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13520
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13530
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13540
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13550
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13560
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13570
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13600
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13610
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13620
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13630
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13640
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13650
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13660
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13670
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13700
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13710
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13720
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13730
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13740
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13750
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13760
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 13770
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14000
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14010
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14020
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14030
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14040
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14050
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14060
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14070
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14100
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14110
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14120
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14130
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14140
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14150
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14160
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14170
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14200
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14210
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14220
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14230
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14240
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14250
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14260
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14270
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14300
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14310
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14320
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14330
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14340
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14350
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14360
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14370
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14400
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14410
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14420
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14430
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14440
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14450
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14460
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14470
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14500
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14510
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14520
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14530
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14540
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14550
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14560
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14570
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14600
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14610
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14620
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14630
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14640
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14650
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14660
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14670
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14700
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14710
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14720
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14730
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14740
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14750
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14760
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 14770
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15000
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15010
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15020
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15030
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15040
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15050
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15060
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15070
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15100
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15110
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15120
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15130
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15140
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15150
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15160
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15170
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15200
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15210
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15220
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15230
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15240
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15250
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15260
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15270
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15300
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15310
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15320
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15330
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15340
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15350
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15360
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15370
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15400
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15410
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15420
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15430
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15440
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15450
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15460
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15470
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15500
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15510
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15520
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15530
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15540
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15550
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15560
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15570
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15600
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15610
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15620
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15630
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15640
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15650
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15660
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15670
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15700
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15710
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15720
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15730
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15740
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15750
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15760
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 15770
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16000
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16010
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16020
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16030
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16040
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16050
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16060
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16070
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16100
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16110
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16120
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16130
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16140
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16150
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16160
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16170
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16200
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16210
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16220
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16230
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16240
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16250
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16260
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16270
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16300
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16310
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16320
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16330
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16340
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16350
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16360
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16370
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16400
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16410
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16420
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16430
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16440
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16450
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16460
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16470
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16500
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16510
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16520
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16530
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16540
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16550
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16560
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16570
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16600
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16610
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16620
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16630
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16640
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16650
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16660
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16670
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16700
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16710
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16720
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16730
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16740
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16750
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16760
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 16770
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17000
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17010
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17020
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17030
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17040
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17050
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17060
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17070
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17100
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17110
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17120
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17130
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17140
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17150
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17160
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17170
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17200
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17210
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17220
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17230
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17240
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17250
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17260
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17270
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17300
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17310
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17320
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17330
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17340
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17350
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17360
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17370
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17400
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17410
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17420
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17430
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17440
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17450
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17460
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17470
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17500
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17510
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17520
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17530
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17540
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17550
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17560
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17570
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17600
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17610
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17620
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17630
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17640
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17650
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17660
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17670
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17700
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17710
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17720
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17730
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17740
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17750
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17760
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 17770
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20000
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20010
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20020
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20030
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20040
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20050
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20060
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20070
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20100
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20110
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20120
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20130
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20140
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20150
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20160
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20170
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20200
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20210
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20220
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20230
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20240
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20250
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20260
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20270
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20300
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20310
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20320
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20330
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20340
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20350
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20360
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20370
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20400
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20410
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20420
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20430
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20440
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20450
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20460
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20470
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20500
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20510
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20520
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20530
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20540
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20550
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20560
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20570
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20600
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20610
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20620
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20630
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20640
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20650
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20660
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20670
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20700
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20710
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20720
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20730
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20740
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20750
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20760
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 20770
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21000
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21010
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21020
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21030
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21040
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21050
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21060
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21070
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21100
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21110
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21120
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21130
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21140
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21150
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21160
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21170
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21200
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21210
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21220
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21230
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21240
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21250
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21260
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21270
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21300
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21310
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21320
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21330
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21340
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21350
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21360
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21370
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21400
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21410
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21420
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21430
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21440
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21450
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21460
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21470
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21500
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21510
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21520
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21530
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21540
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21550
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21560
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21570
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21600
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21610
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21620
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21630
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21640
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21650
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21660
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21670
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21700
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21710
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21720
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21730
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21740
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21750
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21760
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 21770
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22000
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22010
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22020
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22030
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22040
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22050
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22060
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22070
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22100
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22110
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22120
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22130
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22140
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22150
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22160
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22170
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22200
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22210
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22220
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22230
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22240
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22250
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22260
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22270
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22300
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22310
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22320
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22330
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22340
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22350
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22360
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22370
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22400
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22410
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22420
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22430
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22440
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22450
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22460
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22470
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22500
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22510
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22520
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22530
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22540
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22550
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22560
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22570
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22600
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22610
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22620
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22630
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22640
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22650
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22660
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22670
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22700
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22710
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22720
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22730
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22740
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22750
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22760
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 22770
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23000
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23010
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23020
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23030
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23040
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23050
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23060
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23070
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23100
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23110
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23120
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23130
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23140
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23150
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23160
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23170
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23200
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23210
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23220
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23230
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23240
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23250
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23260
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23270
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23300
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23310
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23320
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23330
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23340
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23350
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23360
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23370
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23400
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23410
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23420
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23430
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23440
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23450
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23460
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23470
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23500
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23510
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23520
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23530
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23540
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23550
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23560
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23570
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23600
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23610
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23620
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23630
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23640
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23650
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23660
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23670
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23700
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23710
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23720
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23730
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23740
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23750
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23760
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 23770
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24000
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24010
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24020
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24030
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24040
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24050
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24060
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24070
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24100
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24110
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24120
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24130
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24140
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24150
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24160
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24170
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24200
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24210
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24220
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24230
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24240
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24250
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24260
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24270
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24300
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24310
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24320
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24330
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24340
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24350
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24360
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24370
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24400
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24410
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24420
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24430
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24440
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24450
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24460
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24470
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24500
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24510
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24520
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24530
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24540
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24550
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24560
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24570
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24600
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24610
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24620
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24630
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24640
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24650
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24660
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24670
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24700
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24710
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24720
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24730
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24740
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24750
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24760
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 24770
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25000
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25010
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25020
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25030
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25040
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25050
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25060
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25070
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25100
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25110
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25120
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25130
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25140
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25150
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25160
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25170
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25200
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25210
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25220
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25230
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25240
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25250
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25260
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25270
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25300
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25310
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25320
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25330
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25340
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25350
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25360
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25370
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25400
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25410
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25420
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25430
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25440
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25450
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25460
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25470
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25500
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25510
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25520
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25530
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25540
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25550
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25560
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25570
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25600
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25610
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25620
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25630
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25640
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25650
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25660
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25670
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25700
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25710
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25720
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25730
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25740
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25750
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25760
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 25770
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26000
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26010
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26020
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26030
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26040
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26050
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26060
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26070
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26100
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26110
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26120
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26130
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26140
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26150
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26160
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26170
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26200
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26210
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26220
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26230
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26240
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26250
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26260
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26270
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26300
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26310
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26320
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26330
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26340
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26350
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26360
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26370
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26400
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26410
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26420
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26430
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26440
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26450
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26460
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26470
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26500
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26510
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26520
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26530
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26540
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26550
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26560
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26570
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26600
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26610
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26620
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26630
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26640
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26650
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26660
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26670
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26700
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26710
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26720
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26730
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26740
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26750
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26760
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 26770
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27000
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27010
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27020
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27030
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27040
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27050
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27060
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27070
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27100
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27110
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27120
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27130
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27140
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27150
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27160
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27170
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27200
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27210
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27220
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27230
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27240
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27250
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27260
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27270
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27300
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27310
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27320
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27330
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27340
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27350
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27360
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27370
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27400
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27410
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27420
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27430
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27440
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27450
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27460
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27470
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27500
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27510
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27520
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27530
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27540
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27550
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27560
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27570
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27600
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27610
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27620
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27630
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27640
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27650
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27660
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27670
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27700
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27710
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27720
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27730
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27740
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27750
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27760
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 27770
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30000
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30010
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30020
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30030
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30040
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30050
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30060
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30070
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30100
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30110
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30120
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30130
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30140
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30150
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30160
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30170
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30200
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30210
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30220
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30230
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30240
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30250
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30260
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30270
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30300
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30310
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30320
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30330
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30340
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30350
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30360
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30370
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30400
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30410
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30420
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30430
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30440
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30450
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30460
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30470
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30500
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30510
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30520
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30530
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30540
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30550
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30560
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30570
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30600
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30610
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30620
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30630
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30640
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30650
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30660
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30670
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30700
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30710
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30720
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30730
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30740
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30750
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30760
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 30770
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31000
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31010
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31020
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31030
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31040
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31050
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31060
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31070
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31100
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31110
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31120
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31130
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31140
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31150
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31160
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31170
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31200
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31210
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31220
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31230
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31240
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31250
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31260
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31270
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31300
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31310
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31320
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31330
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31340
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31350
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31360
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31370
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31400
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31410
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31420
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31430
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31440
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31450
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31460
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31470
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31500
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31510
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31520
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31530
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31540
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31550
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31560
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31570
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31600
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31610
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31620
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31630
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31640
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31650
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31660
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31670
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31700
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31710
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31720
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31730
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31740
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31750
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31760
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 31770
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32000
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32010
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32020
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32030
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32040
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32050
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32060
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32070
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32100
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32110
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32120
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32130
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32140
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32150
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32160
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32170
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32200
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32210
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32220
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32230
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32240
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32250
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32260
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32270
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32300
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32310
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32320
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32330
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32340
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32350
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32360
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32370
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32400
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32410
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32420
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32430
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32440
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32450
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32460
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32470
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32500
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32510
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32520
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32530
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32540
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32550
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32560
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32570
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32600
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32610
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32620
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32630
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32640
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32650
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32660
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32670
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32700
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32710
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32720
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32730
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32740
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32750
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32760
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 32770
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33000
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33010
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33020
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33030
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33040
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33050
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33060
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33070
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33100
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33110
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33120
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33130
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33140
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33150
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33160
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33170
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33200
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33210
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33220
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33230
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33240
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33250
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33260
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33270
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33300
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33310
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33320
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33330
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33340
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33350
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33360
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33370
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33400
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33410
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33420
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33430
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33440
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33450
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33460
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33470
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33500
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33510
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33520
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33530
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33540
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33550
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33560
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33570
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33600
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33610
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33620
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33630
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33640
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33650
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33660
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33670
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33700
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33710
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33720
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33730
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33740
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33750
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33760
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 33770
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34000
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34010
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34020
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34030
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34040
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34050
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34060
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34070
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34100
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34110
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34120
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34130
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34140
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34150
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34160
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34170
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34200
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34210
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34220
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34230
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34240
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34250
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34260
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34270
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34300
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34310
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34320
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34330
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34340
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34350
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34360
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34370
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34400
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34410
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34420
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34430
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34440
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34450
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34460
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34470
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34500
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34510
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34520
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34530
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34540
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34550
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34560
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34570
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34600
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34610
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34620
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34630
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34640
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34650
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34660
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34670
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34700
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34710
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34720
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34730
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34740
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34750
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34760
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 34770
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35000
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35010
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35020
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35030
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35040
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35050
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35060
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35070
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35100
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35110
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35120
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35130
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35140
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35150
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35160
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35170
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35200
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35210
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35220
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35230
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35240
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35250
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35260
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35270
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35300
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35310
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35320
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35330
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35340
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35350
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35360
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35370
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35400
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35410
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35420
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35430
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35440
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35450
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35460
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35470
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35500
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35510
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35520
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35530
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35540
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35550
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35560
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35570
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35600
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35610
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35620
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35630
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35640
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35650
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35660
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35670
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35700
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35710
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35720
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35730
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35740
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35750
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35760
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 35770
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36000
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36010
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36020
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36030
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36040
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36050
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36060
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36070
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36100
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36110
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36120
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36130
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36140
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36150
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36160
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36170
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36200
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36210
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36220
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36230
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36240
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36250
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36260
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36270
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36300
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36310
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36320
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36330
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36340
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36350
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36360
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36370
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36400
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36410
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36420
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36430
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36440
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36450
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36460
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36470
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36500
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36510
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36520
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36530
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36540
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36550
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36560
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36570
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36600
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36610
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36620
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36630
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36640
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36650
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36660
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36670
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36700
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36710
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36720
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36730
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36740
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36750
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36760
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 36770
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37000
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37010
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37020
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37030
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37040
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37050
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37060
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37070
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37100
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37110
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37120
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37130
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37140
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37150
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37160
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37170
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37200
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37210
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37220
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37230
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37240
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37250
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37260
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37270
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37300
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37310
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37320
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37330
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37340
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37350
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37360
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37370
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37400
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37410
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37420
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37430
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37440
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37450
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37460
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37470
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37500
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37510
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37520
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37530
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37540
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37550
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37560
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37570
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37600
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37610
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37620
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37630
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37640
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37650
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37660
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37670
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37700
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37710
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37720
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37730
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37740
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37750
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37760
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 37770
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40000
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40010
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40020
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40030
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40040
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40050
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40060
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40070
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40100
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40110
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40120
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40130
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40140
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40150
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40160
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40170
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40200
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40210
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40220
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40230
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40240
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40250
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40260
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40270
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40300
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40310
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40320
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40330
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40340
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40350
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40360
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40370
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40400
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40410
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40420
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40430
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40440
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40450
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40460
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40470
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40500
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40510
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40520
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40530
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40540
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40550
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40560
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40570
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40600
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40610
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40620
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40630
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40640
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40650
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40660
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40670
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40700
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40710
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40720
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40730
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40740
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40750
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40760
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 40770
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41000
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41010
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41020
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41030
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41040
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41050
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41060
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41070
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41100
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41110
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41120
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41130
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41140
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41150
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41160
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41170
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41200
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41210
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41220
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41230
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41240
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41250
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41260
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41270
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41300
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41310
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41320
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41330
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41340
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41350
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41360
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41370
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41400
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41410
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41420
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41430
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41440
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41450
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41460
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41470
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41500
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41510
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41520
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41530
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41540
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41550
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41560
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41570
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41600
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41610
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41620
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41630
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41640
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41650
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41660
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41670
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41700
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41710
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41720
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41730
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41740
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41750
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41760
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 41770
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42000
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42010
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42020
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42030
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42040
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42050
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42060
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42070
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42100
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42110
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42120
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42130
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42140
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42150
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42160
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42170
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42200
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42210
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42220
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42230
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42240
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42250
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42260
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42270
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42300
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42310
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42320
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42330
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42340
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42350
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42360
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42370
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42400
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42410
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42420
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42430
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42440
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42450
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42460
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42470
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42500
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42510
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42520
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42530
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42540
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42550
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42560
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42570
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42600
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42610
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42620
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42630
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42640
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42650
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42660
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42670
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42700
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42710
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42720
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42730
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42740
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42750
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42760
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 42770
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43000
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43010
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43020
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43030
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43040
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43050
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43060
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43070
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43100
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43110
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43120
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43130
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43140
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43150
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43160
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43170
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43200
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43210
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43220
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43230
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43240
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43250
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43260
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43270
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43300
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43310
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43320
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43330
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43340
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43350
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43360
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43370
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43400
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43410
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43420
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43430
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43440
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43450
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43460
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43470
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43500
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43510
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43520
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43530
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43540
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43550
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43560
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43570
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43600
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43610
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43620
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43630
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43640
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43650
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43660
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43670
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43700
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43710
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43720
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43730
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43740
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43750
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43760
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 43770
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44000
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44010
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44020
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44030
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44040
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44050
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44060
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44070
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44100
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44110
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44120
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44130
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44140
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44150
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44160
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44170
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44200
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44210
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44220
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44230
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44240
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44250
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44260
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44270
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44300
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44310
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44320
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44330
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44340
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44350
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44360
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44370
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44400
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44410
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44420
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44430
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44440
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44450
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44460
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44470
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44500
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44510
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44520
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44530
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44540
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44550
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44560
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44570
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44600
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44610
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44620
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44630
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44640
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44650
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44660
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44670
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44700
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44710
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44720
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44730
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44740
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44750
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44760
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 44770
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45000
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45010
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45020
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45030
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45040
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45050
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45060
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45070
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45100
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45110
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45120
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45130
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45140
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45150
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45160
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45170
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45200
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45210
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45220
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45230
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45240
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45250
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45260
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45270
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45300
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45310
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45320
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45330
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45340
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45350
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45360
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45370
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45400
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45410
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45420
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45430
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45440
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45450
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45460
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45470
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45500
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45510
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45520
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45530
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45540
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45550
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45560
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45570
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45600
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45610
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45620
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45630
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45640
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45650
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45660
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45670
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45700
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45710
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45720
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45730
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45740
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45750
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45760
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 45770
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46000
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46010
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46020
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46030
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46040
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46050
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46060
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46070
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46100
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46110
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46120
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46130
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46140
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46150
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46160
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46170
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46200
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46210
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46220
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46230
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46240
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46250
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46260
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46270
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46300
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46310
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46320
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46330
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46340
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46350
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46360
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46370
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46400
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46410
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46420
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46430
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46440
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46450
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46460
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46470
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46500
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46510
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46520
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46530
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46540
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46550
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46560
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46570
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46600
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46610
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46620
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46630
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46640
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46650
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46660
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46670
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46700
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46710
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46720
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46730
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46740
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46750
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46760
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 46770
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47000
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47010
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47020
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47030
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47040
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47050
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47060
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47070
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47100
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47110
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47120
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47130
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47140
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47150
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47160
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47170
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47200
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47210
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47220
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47230
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47240
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47250
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47260
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47270
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47300
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47310
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47320
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47330
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47340
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47350
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47360
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47370
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47400
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47410
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47420
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47430
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47440
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47450
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47460
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47470
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47500
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47510
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47520
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47530
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47540
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47550
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47560
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47570
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47600
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47610
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47620
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47630
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47640
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47650
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47660
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47670
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47700
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47710
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47720
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47730
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47740
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47750
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47760
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 47770
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50000
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50010
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50020
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50030
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50040
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50050
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50060
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50070
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50100
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50110
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50120
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50130
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50140
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50150
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50160
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50170
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50200
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50210
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50220
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50230
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50240
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50250
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50260
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50270
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50300
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50310
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50320
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50330
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50340
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50350
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50360
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50370
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50400
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50410
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50420
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50430
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50440
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50450
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50460
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50470
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50500
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50510
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50520
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50530
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50540
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50550
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50560
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50570
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50600
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50610
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50620
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50630
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50640
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50650
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50660
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50670
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50700
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50710
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50720
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50730
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50740
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50750
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50760
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 50770
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51000
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51010
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51020
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51030
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51040
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51050
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51060
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51070
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51100
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51110
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51120
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51130
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51140
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51150
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51160
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51170
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51200
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51210
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51220
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51230
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51240
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51250
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51260
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51270
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51300
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51310
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51320
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51330
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51340
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51350
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51360
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51370
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51400
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51410
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51420
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51430
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51440
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51450
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51460
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51470
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51500
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51510
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51520
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51530
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51540
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51550
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51560
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51570
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51600
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51610
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51620
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51630
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51640
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51650
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51660
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51670
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51700
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51710
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51720
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51730
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51740
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51750
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51760
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 51770
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52000
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52010
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52020
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52030
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52040
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52050
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52060
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52070
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52100
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52110
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52120
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52130
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52140
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52150
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52160
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52170
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52200
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52210
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52220
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52230
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52240
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52250
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52260
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52270
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52300
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52310
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52320
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52330
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52340
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52350
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52360
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52370
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52400
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52410
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52420
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52430
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52440
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52450
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52460
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52470
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52500
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52510
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52520
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52530
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52540
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52550
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52560
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52570
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52600
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52610
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52620
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52630
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52640
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52650
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52660
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52670
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52700
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52710
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52720
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52730
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52740
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52750
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52760
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 52770
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53000
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53010
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53020
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53030
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53040
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53050
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53060
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53070
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53100
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53110
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53120
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53130
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53140
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53150
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53160
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53170
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53200
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53210
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53220
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53230
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53240
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53250
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53260
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53270
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53300
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53310
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53320
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53330
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53340
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53350
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53360
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53370
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53400
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53410
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53420
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53430
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53440
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53450
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53460
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53470
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53500
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53510
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53520
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53530
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53540
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53550
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53560
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53570
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53600
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53610
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53620
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53630
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53640
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53650
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53660
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53670
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53700
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53710
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53720
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53730
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53740
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53750
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53760
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 53770
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54000
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54010
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54020
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54030
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54040
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54050
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54060
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54070
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54100
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54110
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54120
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54130
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54140
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54150
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54160
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54170
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54200
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54210
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54220
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54230
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54240
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54250
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54260
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54270
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54300
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54310
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54320
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54330
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54340
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54350
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54360
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54370
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54400
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54410
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54420
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54430
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54440
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54450
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54460
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54470
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54500
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54510
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54520
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54530
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54540
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54550
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54560
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54570
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54600
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54610
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54620
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54630
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54640
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54650
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54660
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54670
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54700
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54710
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54720
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54730
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54740
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54750
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54760
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 54770
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55000
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55010
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55020
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55030
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55040
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55050
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55060
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55070
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55100
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55110
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55120
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55130
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55140
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55150
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55160
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55170
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55200
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55210
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55220
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55230
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55240
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55250
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55260
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55270
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55300
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55310
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55320
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55330
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55340
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55350
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55360
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55370
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55400
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55410
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55420
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55430
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55440
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55450
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55460
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55470
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55500
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55510
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55520
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55530
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55540
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55550
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55560
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55570
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55600
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55610
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55620
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55630
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55640
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55650
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55660
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55670
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55700
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55710
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55720
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55730
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55740
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55750
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55760
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 55770
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56000
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56010
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56020
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56030
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56040
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56050
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56060
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56070
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56100
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56110
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56120
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56130
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56140
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56150
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56160
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56170
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56200
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56210
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56220
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56230
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56240
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56250
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56260
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56270
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56300
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56310
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56320
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56330
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56340
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56350
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56360
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56370
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56400
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56410
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56420
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56430
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56440
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56450
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56460
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56470
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56500
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56510
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56520
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56530
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56540
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56550
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56560
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56570
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56600
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56610
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56620
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56630
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56640
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56650
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56660
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56670
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56700
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56710
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56720
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56730
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56740
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56750
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56760
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 56770
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57000
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57010
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57020
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57030
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57040
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57050
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57060
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57070
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57100
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57110
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57120
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57130
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57140
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57150
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57160
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57170
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57200
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57210
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57220
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57230
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57240
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57250
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57260
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57270
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57300
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57310
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57320
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57330
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57340
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57350
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57360
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57370
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57400
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57410
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57420
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57430
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57440
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57450
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57460
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57470
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57500
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57510
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57520
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57530
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57540
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57550
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57560
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57570
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57600
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57610
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57620
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57630
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57640
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57650
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57660
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57670
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57700
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57710
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57720
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57730
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57740
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57750
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57760
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 57770
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60000
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60010
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60020
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60030
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60040
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60050
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60060
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60070
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60100
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60110
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60120
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60130
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60140
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60150
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60160
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60170
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60200
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60210
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60220
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60230
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60240
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60250
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60260
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60270
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60300
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60310
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60320
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60330
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60340
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60350
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60360
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60370
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60400
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60410
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60420
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60430
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60440
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60450
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60460
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60470
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60500
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60510
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60520
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60530
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60540
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60550
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60560
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60570
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60600
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60610
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60620
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60630
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60640
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60650
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60660
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60670
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60700
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60710
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60720
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60730
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60740
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60750
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60760
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 60770
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61000
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61010
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61020
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61030
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61040
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61050
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61060
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61070
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61100
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61110
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61120
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61130
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61140
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61150
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61160
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61170
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61200
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61210
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61220
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61230
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61240
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61250
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61260
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61270
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61300
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61310
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61320
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61330
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61340
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61350
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61360
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61370
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61400
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61410
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61420
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61430
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61440
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61450
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61460
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61470
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61500
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61510
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61520
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61530
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61540
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61550
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61560
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61570
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61600
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61610
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61620
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61630
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61640
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61650
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61660
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61670
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61700
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61710
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61720
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61730
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61740
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61750
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61760
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 61770
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62000
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62010
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62020
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62030
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62040
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62050
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62060
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62070
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62100
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62110
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62120
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62130
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62140
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62150
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62160
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62170
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62200
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62210
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62220
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62230
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62240
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62250
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62260
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62270
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62300
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62310
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62320
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62330
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62340
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62350
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62360
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62370
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62400
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62410
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62420
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62430
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62440
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62450
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62460
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62470
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62500
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62510
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62520
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62530
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62540
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62550
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62560
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62570
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62600
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62610
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62620
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62630
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62640
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62650
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62660
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62670
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62700
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62710
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62720
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62730
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62740
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62750
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62760
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 62770
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63000
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63010
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63020
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63030
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63040
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63050
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63060
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63070
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63100
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63110
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63120
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63130
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63140
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63150
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63160
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63170
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63200
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63210
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63220
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63230
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63240
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63250
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63260
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63270
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63300
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63310
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63320
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63330
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63340
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63350
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63360
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63370
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63400
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63410
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63420
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63430
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63440
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63450
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63460
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63470
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63500
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63510
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63520
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63530
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63540
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63550
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63560
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63570
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63600
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63610
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63620
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63630
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63640
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63650
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63660
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63670
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63700
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63710
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63720
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63730
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63740
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63750
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63760
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 63770
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64000
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64010
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64020
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64030
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64040
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64050
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64060
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64070
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64100
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64110
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64120
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64130
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64140
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64150
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64160
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64170
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64200
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64210
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64220
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64230
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64240
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64250
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64260
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64270
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64300
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64310
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64320
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64330
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64340
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64350
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64360
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64370
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64400
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64410
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64420
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64430
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64440
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64450
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64460
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64470
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64500
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64510
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64520
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64530
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64540
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64550
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64560
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64570
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64600
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64610
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64620
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64630
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64640
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64650
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64660
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64670
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64700
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64710
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64720
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64730
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64740
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64750
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64760
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 64770
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65000
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65010
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65020
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65030
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65040
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65050
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65060
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65070
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65100
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65110
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65120
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65130
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65140
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65150
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65160
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65170
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65200
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65210
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65220
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65230
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65240
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65250
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65260
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65270
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65300
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65310
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65320
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65330
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65340
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65350
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65360
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65370
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65400
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65410
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65420
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65430
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65440
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65450
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65460
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65470
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65500
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65510
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65520
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65530
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65540
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65550
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65560
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65570
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65600
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65610
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65620
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65630
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65640
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65650
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65660
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65670
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65700
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65710
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65720
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65730
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65740
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65750
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65760
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 65770
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66000
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66010
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66020
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66030
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66040
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66050
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66060
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66070
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66100
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66110
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66120
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66130
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66140
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66150
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66160
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66170
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66200
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66210
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66220
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66230
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66240
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66250
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66260
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66270
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66300
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66310
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66320
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66330
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66340
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66350
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66360
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66370
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66400
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66410
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66420
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66430
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66440
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66450
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66460
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66470
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66500
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66510
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66520
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66530
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66540
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66550
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66560
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66570
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66600
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66610
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66620
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66630
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66640
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66650
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66660
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66670
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66700
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66710
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66720
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66730
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66740
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66750
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66760
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 66770
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67000
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67010
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67020
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67030
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67040
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67050
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67060
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67070
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67100
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67110
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67120
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67130
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67140
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67150
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67160
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67170
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67200
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67210
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67220
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67230
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67240
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67250
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67260
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67270
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67300
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67310
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67320
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67330
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67340
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67350
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67360
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67370
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67400
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67410
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67420
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67430
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67440
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67450
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67460
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67470
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67500
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67510
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67520
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67530
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67540
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67550
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67560
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67570
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67600
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67610
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67620
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67630
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67640
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67650
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67660
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67670
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67700
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67710
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67720
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67730
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67740
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67750
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67760
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 67770
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70000
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70010
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70020
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70030
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70040
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70050
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70060
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70070
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70100
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70110
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70120
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70130
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70140
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70150
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70160
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70170
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70200
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70210
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70220
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70230
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70240
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70250
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70260
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70270
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70300
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70310
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70320
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70330
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70340
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70350
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70360
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70370
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70400
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70410
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70420
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70430
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70440
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70450
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70460
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70470
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70500
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70510
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70520
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70530
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70540
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70550
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70560
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70570
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70600
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70610
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70620
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70630
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70640
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70650
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70660
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70670
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70700
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70710
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70720
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70730
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70740
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70750
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70760
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 70770
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71000
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71010
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71020
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71030
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71040
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71050
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71060
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71070
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71100
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71110
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71120
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71130
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71140
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71150
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71160
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71170
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71200
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71210
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71220
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71230
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71240
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71250
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71260
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71270
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71300
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71310
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71320
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71330
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71340
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71350
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71360
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71370
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71400
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71410
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71420
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71430
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71440
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71450
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71460
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71470
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71500
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71510
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71520
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71530
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71540
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71550
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71560
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71570
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71600
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71610
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71620
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71630
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71640
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71650
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71660
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71670
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71700
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71710
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71720
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71730
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71740
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71750
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71760
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 71770
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72000
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72010
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72020
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72030
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72040
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72050
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72060
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72070
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72100
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72110
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72120
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72130
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72140
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72150
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72160
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72170
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72200
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72210
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72220
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72230
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72240
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72250
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72260
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72270
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72300
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72310
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72320
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72330
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72340
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72350
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72360
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72370
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72400
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72410
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72420
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72430
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72440
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72450
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72460
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72470
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72500
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72510
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72520
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72530
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72540
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72550
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72560
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72570
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72600
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72610
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72620
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72630
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72640
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72650
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72660
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72670
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72700
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72710
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72720
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72730
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72740
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72750
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72760
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 72770
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73000
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73010
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73020
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73030
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73040
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73050
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73060
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73070
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73100
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73110
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73120
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73130
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73140
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73150
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73160
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73170
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73200
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73210
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73220
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73230
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73240
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73250
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73260
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73270
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73300
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73310
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73320
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73330
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73340
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73350
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73360
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73370
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73400
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73410
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73420
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73430
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73440
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73450
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73460
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73470
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73500
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73510
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73520
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73530
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73540
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73550
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73560
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73570
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73600
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73610
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73620
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73630
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73640
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73650
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73660
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73670
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73700
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73710
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73720
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73730
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73740
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73750
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73760
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 73770
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74000
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74010
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74020
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74030
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74040
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74050
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74060
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74070
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74100
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74110
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74120
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74130
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74140
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74150
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74160
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74170
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74200
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74210
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74220
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74230
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74240
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74250
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74260
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74270
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74300
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74310
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74320
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74330
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74340
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74350
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74360
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74370
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74400
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74410
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74420
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74430
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74440
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74450
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74460
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74470
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74500
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74510
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74520
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74530
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74540
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74550
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74560
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74570
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74600
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74610
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74620
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74630
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74640
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74650
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74660
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74670
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74700
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74710
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74720
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74730
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74740
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74750
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74760
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 74770
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75000
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75010
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75020
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75030
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75040
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75050
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75060
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75070
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75100
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75110
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75120
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75130
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75140
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75150
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75160
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75170
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75200
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75210
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75220
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75230
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75240
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75250
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75260
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75270
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75300
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75310
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75320
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75330
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75340
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75350
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75360
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75370
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75400
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75410
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75420
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75430
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75440
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75450
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75460
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75470
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75500
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75510
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75520
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75530
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75540
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75550
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75560
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75570
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75600
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75610
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75620
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75630
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75640
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75650
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75660
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75670
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75700
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75710
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75720
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75730
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75740
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75750
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75760
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 75770
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76000
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76010
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76020
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76030
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76040
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76050
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76060
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76070
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76100
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76110
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76120
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76130
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76140
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76150
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76160
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76170
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76200
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76210
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76220
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76230
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76240
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76250
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76260
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76270
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76300
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76310
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76320
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76330
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76340
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76350
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76360
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76370
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76400
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76410
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76420
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76430
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76440
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76450
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76460
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76470
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76500
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76510
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76520
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76530
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76540
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76550
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76560
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76570
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76600
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76610
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76620
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76630
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76640
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76650
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76660
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76670
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76700
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76710
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76720
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76730
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76740
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76750
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76760
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 76770
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77000
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77010
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77020
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77030
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77040
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77050
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77060
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77070
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77100
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77110
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77120
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77130
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77140
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77150
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77160
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77170
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77200
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77210
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77220
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77230
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77240
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77250
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77260
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77270
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77300
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77310
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77320
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77330
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77340
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77350
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77360
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77370
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77400
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77410
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77420
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77430
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77440
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77450
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77460
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77470
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77500
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77510
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77520
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77530
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77540
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77550
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77560
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77570
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77600
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77610
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77620
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77630
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77640
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77650
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77660
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77670
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77700
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77710
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77720
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77730
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77740
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77750
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", -- 77760
        o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000", o"0000"  -- 77770
    );
 
begin
 
    --
    --  The Internal RAM is enabled for all reads/writes to main memory.
    --
 
    ramWr   <= cpu.buss.wr and cpu.buss.lxmar;
    xaddr   <= cpu.buss.eaddr & cpu.buss.addr;
    cpuData <= cpu.buss.data;
 
    process(sys.clk)
    begin
        if rising_edge(sys.clk) then
            if ramWr = '1' then
                RAM(to_integer(unsigned(xaddr))) <= cpuData;
            end if;
            ramData <= RAM(to_integer(unsigned(xaddr)));
        end if;
    end process;
 
    dev.ack   <= cpu.buss.lxmar;
    dev.data  <= ramData;
    dev.devc  <= devWR;
    dev.skip  <= '0';
    dev.cpreq <= '0';
    dev.intr  <= '0';
    dev.dma   <= nullDMA;
 
end rtl;
 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.