URL
https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk
Subversion Repositories qaz_libs
[/] [qaz_libs/] [trunk/] [axi4_lib/] [sim/] [tests/] [debug_bfm/] [the_test.sv] - Rev 45
Go to most recent revision | Compare with Previous | Blame | View Log
//////////////////////////////////////////////////////////////////////
//// ////
//// Copyright (C) 2015 Authors and OPENCORES.ORG ////
//// ////
//// This source file may be used and distributed without ////
//// restriction provided that this copyright statement is not ////
//// removed from the file and that any derivative work contains ////
//// the original copyright notice and the associated disclaimer. ////
//// ////
//// This source file is free software; you can redistribute it ////
//// and/or modify it under the terms of the GNU Lesser General ////
//// Public License as published by the Free Software Foundation; ////
//// either version 2.1 of the License, or (at your option) any ////
//// later version. ////
//// ////
//// This source is distributed in the hope that it will be ////
//// useful, but WITHOUT ANY WARRANTY; without even the implied ////
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////
//// PURPOSE. See the GNU Lesser General Public License for more ////
//// details. ////
//// ////
//// You should have received a copy of the GNU Lesser General ////
//// Public License along with this source; if not, download it ////
//// from http://www.opencores.org/lgpl.shtml ////
//// ////
//////////////////////////////////////////////////////////////////////
`timescale 1ps/1ps
module
the_test(
input tb_clk,
input tb_rst
);
// --------------------------------------------------------------------
//
localparam A = tb_top.A;
localparam N = tb_top.N;
// --------------------------------------------------------------------
//
import axi4_transaction_pkg::*;
axi4_payload_class payload_h;
// --------------------------------------------------------------------
//
logic [(8*N)-1:0] data[];
logic [1:0] resp;
task run_the_test;
// --------------------------------------------------------------------
// insert test below
// --------------------------------------------------------------------
$display("^^^---------------------------------");
$display("^^^ %16.t | Testbench begun.\n", $time);
$display("^^^---------------------------------");
// --------------------------------------------------------------------
tb_top.tb.timeout_stop(100us);
// --------------------------------------------------------------------
wait(~tb_rst);
// --------------------------------------------------------------------
#100ns;
// // --------------------------------------------------------------------
// #100ns;
repeat(5)
begin
tb_top.bfm.basic_read(32'h1234_0000, 3, data, resp);
foreach(data[i])
$display("^^^ %16.t | %d | 0x%016x |", $time, i, data[i]);
end
tb_top.bfm.basic_read(32'habcd_0000, 0, data, resp);
$display("^^^ %16.t | 0x%016x |", $time, data[0]);
// --------------------------------------------------------------------
#100ns;
data = new[1];
data[0] = 64'habba_beef_cafe_1a7e;
tb_top.bfm.basic_write(32'h1234_0000, 0, data, resp);
// $display("^^^ %16.t | 0x%016x |", $time, data[0]);
// --------------------------------------------------------------------
#100ns;
repeat(5)
begin
tb_top.bfm.basic_random_write(32'habcd_0000, 0, resp);
end
// --------------------------------------------------------------------
#100ns;
// --------------------------------------------------------------------
// insert test above
// --------------------------------------------------------------------
endtask
endmodule
Go to most recent revision | Compare with Previous | Blame | View Log