OpenCores
URL https://opencores.org/ocsvn/quadrature_oscillator/quadrature_oscillator/trunk

Subversion Repositories quadrature_oscillator

[/] [quadrature_oscillator/] [trunk/] [testbench_files/] [quad_oscillator_tb.v] - Rev 2

Compare with Previous | Blame | View Log

// Impulse response of quad_oscillator.v test bench file
module quad_oscillator_tb;
 
reg clk, rst;
reg signed [15:0] x;
 
quad_oscillator osc1(.clk(clk), .rst(rst), .x(x));
 
initial // Clock generator
  begin
    clk = 0;
    forever #10 clk = !clk;
  end
 
initial // Reset generator
  begin
    rst = 0;
	 x = 16'd0;
    #20 rst = 1;
	 #10 rst = 0;
	 #100 x = 16'd256;
	 #15 x = 16'd0;
  end
 
endmodule 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.