OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [branches/] [fp/] [doc/] [cycloneIII_3c25_niosII_video-Resource Utilization by Entity.rpt] - Rev 233

Go to most recent revision | Compare with Previous | Blame | View Log

Resource Utilization by Entity report for cycloneIII_3c25_niosII_video
Wed Aug 15 10:41:12 2012
Quartus II Version 11.0 Build 157 04/27/2011 SJ Web Edition


---------------------
; Table of Contents ;
---------------------
  1. Legal Notice
  2. Fitter Resource Utilization by Entity



----------------
; Legal Notice ;
----------------
Copyright (C) 1991-2011 Altera Corporation
Your use of Altera Corporation's design tools, logic functions 
and other software and tools, and its AMPP partner logic 
functions, and any output files from any of the foregoing 
(including device programming or simulation files), and any 
associated documentation or information are expressly subject 
to the terms and conditions of the Altera Program License 
Subscription Agreement, Altera MegaCore Function License 
Agreement, or other applicable license agreement, including, 
without limitation, that your use is for the sole purpose of 
programming logic devices manufactured by Altera and sold by 
Altera or its authorized distributors.  Please refer to the 
applicable agreement for further details.



+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Fitter Resource Utilization by Entity                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                         ;
+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+--------------+
; Compilation Hierarchy Node                                                                                                                                                                                                                      ; Logic Cells ; Dedicated Logic Registers ; I/O Registers ; Memory Bits ; M9Ks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Full Hierarchy Name                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                          ; Library Name ;
+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+--------------+
; |cycloneIII_3c25_niosII_video                                                                                                                                                                                                                   ; 21028 (3)   ; 13935 (0)                 ; 234 (234)     ; 165650      ; 46   ; 49           ; 3       ; 23        ; 164  ; 0            ; 7093 (3)     ; 4684 (0)          ; 9251 (0)         ; |cycloneIII_3c25_niosII_video                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                ;              ;
;    |cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|                                                                                                                                                               ; 20633 (1)   ; 13774 (0)                 ; 0 (0)         ; 165650      ; 46   ; 49           ; 3       ; 23        ; 0    ; 0            ; 6859 (0)     ; 4582 (0)          ; 9192 (1)         ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance                                                                                                                                                                                                                                                                                                                                                                                                                                                                   ;              ;
;       |button_pio:the_button_pio|                                                                                                                                                                                                               ; 29 (29)     ; 20 (20)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 8 (8)        ; 12 (12)           ; 9 (9)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|button_pio:the_button_pio                                                                                                                                                                                                                                                                                                                                                                                                                                         ;              ;
;       |button_pio_s1_arbitrator:the_button_pio_s1|                                                                                                                                                                                              ; 3 (3)       ; 1 (1)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 2 (2)        ; 1 (1)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|button_pio_s1_arbitrator:the_button_pio_s1                                                                                                                                                                                                                                                                                                                                                                                                                        ;              ;
;       |cpu:the_cpu|                                                                                                                                                                                                                             ; 2138 (1707) ; 1172 (981)                ; 0 (0)         ; 19232       ; 6    ; 4            ; 0       ; 2         ; 0    ; 0            ; 965 (725)    ; 318 (267)         ; 855 (715)        ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu:the_cpu                                                                                                                                                                                                                                                                                                                                                                                                                                                       ;              ;
;          |cpu_ic_data_module:cpu_ic_data|                                                                                                                                                                                                       ; 1 (0)       ; 1 (0)                     ; 0 (0)         ; 8192        ; 1    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 1 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu:the_cpu|cpu_ic_data_module:cpu_ic_data                                                                                                                                                                                                                                                                                                                                                                                                                        ;              ;
;             |altsyncram:the_altsyncram|                                                                                                                                                                                                         ; 1 (0)       ; 1 (0)                     ; 0 (0)         ; 8192        ; 1    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 1 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu:the_cpu|cpu_ic_data_module:cpu_ic_data|altsyncram:the_altsyncram                                                                                                                                                                                                                                                                                                                                                                                              ;              ;
;                |altsyncram_tcd1:auto_generated|                                                                                                                                                                                                 ; 1 (1)       ; 1 (1)                     ; 0 (0)         ; 8192        ; 1    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 1 (1)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu:the_cpu|cpu_ic_data_module:cpu_ic_data|altsyncram:the_altsyncram|altsyncram_tcd1:auto_generated                                                                                                                                                                                                                                                                                                                                                               ;              ;
;          |cpu_ic_tag_module:cpu_ic_tag|                                                                                                                                                                                                         ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 800         ; 1    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu:the_cpu|cpu_ic_tag_module:cpu_ic_tag                                                                                                                                                                                                                                                                                                                                                                                                                          ;              ;
;             |altsyncram:the_altsyncram|                                                                                                                                                                                                         ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 800         ; 1    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu:the_cpu|cpu_ic_tag_module:cpu_ic_tag|altsyncram:the_altsyncram                                                                                                                                                                                                                                                                                                                                                                                                ;              ;
;                |altsyncram_v0g1:auto_generated|                                                                                                                                                                                                 ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 800         ; 1    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu:the_cpu|cpu_ic_tag_module:cpu_ic_tag|altsyncram:the_altsyncram|altsyncram_v0g1:auto_generated                                                                                                                                                                                                                                                                                                                                                                 ;              ;
;          |cpu_mult_cell:the_cpu_mult_cell|                                                                                                                                                                                                      ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 4            ; 0       ; 2         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu:the_cpu|cpu_mult_cell:the_cpu_mult_cell                                                                                                                                                                                                                                                                                                                                                                                                                       ;              ;
;             |altmult_add:the_altmult_add_part_1|                                                                                                                                                                                                ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 2            ; 0       ; 1         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu:the_cpu|cpu_mult_cell:the_cpu_mult_cell|altmult_add:the_altmult_add_part_1                                                                                                                                                                                                                                                                                                                                                                                    ;              ;
;                |mult_add_dfr2:auto_generated|                                                                                                                                                                                                   ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 2            ; 0       ; 1         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu:the_cpu|cpu_mult_cell:the_cpu_mult_cell|altmult_add:the_altmult_add_part_1|mult_add_dfr2:auto_generated                                                                                                                                                                                                                                                                                                                                                       ;              ;
;                   |ded_mult_br81:ded_mult1|                                                                                                                                                                                                     ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 2            ; 0       ; 1         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu:the_cpu|cpu_mult_cell:the_cpu_mult_cell|altmult_add:the_altmult_add_part_1|mult_add_dfr2:auto_generated|ded_mult_br81:ded_mult1                                                                                                                                                                                                                                                                                                                               ;              ;
;             |altmult_add:the_altmult_add_part_2|                                                                                                                                                                                                ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 2            ; 0       ; 1         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu:the_cpu|cpu_mult_cell:the_cpu_mult_cell|altmult_add:the_altmult_add_part_2                                                                                                                                                                                                                                                                                                                                                                                    ;              ;
;                |mult_add_ffr2:auto_generated|                                                                                                                                                                                                   ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 2            ; 0       ; 1         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu:the_cpu|cpu_mult_cell:the_cpu_mult_cell|altmult_add:the_altmult_add_part_2|mult_add_ffr2:auto_generated                                                                                                                                                                                                                                                                                                                                                       ;              ;
;                   |ded_mult_br81:ded_mult1|                                                                                                                                                                                                     ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 2            ; 0       ; 1         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu:the_cpu|cpu_mult_cell:the_cpu_mult_cell|altmult_add:the_altmult_add_part_2|mult_add_ffr2:auto_generated|ded_mult_br81:ded_mult1                                                                                                                                                                                                                                                                                                                               ;              ;
;          |cpu_nios2_oci:the_cpu_nios2_oci|                                                                                                                                                                                                      ; 330 (36)    ; 190 (0)                   ; 0 (0)         ; 8192        ; 2    ; 0            ; 0       ; 0         ; 0    ; 0            ; 140 (36)     ; 51 (0)            ; 139 (0)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci                                                                                                                                                                                                                                                                                                                                                                                                                       ;              ;
;             |cpu_jtag_debug_module_wrapper:the_cpu_jtag_debug_module_wrapper|                                                                                                                                                                   ; 167 (0)     ; 96 (0)                    ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 71 (0)       ; 49 (0)            ; 47 (0)           ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_jtag_debug_module_wrapper:the_cpu_jtag_debug_module_wrapper                                                                                                                                                                                                                                                                                                                                                       ;              ;
;                |cpu_jtag_debug_module_sysclk:the_cpu_jtag_debug_module_sysclk|                                                                                                                                                                  ; 54 (50)     ; 49 (45)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 5 (5)        ; 37 (34)           ; 12 (11)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_jtag_debug_module_wrapper:the_cpu_jtag_debug_module_wrapper|cpu_jtag_debug_module_sysclk:the_cpu_jtag_debug_module_sysclk                                                                                                                                                                                                                                                                                         ;              ;
;                   |altera_std_synchronizer:the_altera_std_synchronizer2|                                                                                                                                                                        ; 2 (2)       ; 2 (2)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 1 (1)             ; 1 (1)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_jtag_debug_module_wrapper:the_cpu_jtag_debug_module_wrapper|cpu_jtag_debug_module_sysclk:the_cpu_jtag_debug_module_sysclk|altera_std_synchronizer:the_altera_std_synchronizer2                                                                                                                                                                                                                                    ;              ;
;                   |altera_std_synchronizer:the_altera_std_synchronizer3|                                                                                                                                                                        ; 2 (2)       ; 2 (2)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 2 (2)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_jtag_debug_module_wrapper:the_cpu_jtag_debug_module_wrapper|cpu_jtag_debug_module_sysclk:the_cpu_jtag_debug_module_sysclk|altera_std_synchronizer:the_altera_std_synchronizer3                                                                                                                                                                                                                                    ;              ;
;                |cpu_jtag_debug_module_tck:the_cpu_jtag_debug_module_tck|                                                                                                                                                                        ; 110 (106)   ; 47 (43)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 63 (63)      ; 12 (8)            ; 35 (35)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_jtag_debug_module_wrapper:the_cpu_jtag_debug_module_wrapper|cpu_jtag_debug_module_tck:the_cpu_jtag_debug_module_tck                                                                                                                                                                                                                                                                                               ;              ;
;                   |altera_std_synchronizer:the_altera_std_synchronizer1|                                                                                                                                                                        ; 2 (2)       ; 2 (2)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 2 (2)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_jtag_debug_module_wrapper:the_cpu_jtag_debug_module_wrapper|cpu_jtag_debug_module_tck:the_cpu_jtag_debug_module_tck|altera_std_synchronizer:the_altera_std_synchronizer1                                                                                                                                                                                                                                          ;              ;
;                   |altera_std_synchronizer:the_altera_std_synchronizer|                                                                                                                                                                         ; 2 (2)       ; 2 (2)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 2 (2)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_jtag_debug_module_wrapper:the_cpu_jtag_debug_module_wrapper|cpu_jtag_debug_module_tck:the_cpu_jtag_debug_module_tck|altera_std_synchronizer:the_altera_std_synchronizer                                                                                                                                                                                                                                           ;              ;
;                |sld_virtual_jtag_basic:cpu_jtag_debug_module_phy|                                                                                                                                                                               ; 4 (4)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 3 (3)        ; 0 (0)             ; 1 (1)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_jtag_debug_module_wrapper:the_cpu_jtag_debug_module_wrapper|sld_virtual_jtag_basic:cpu_jtag_debug_module_phy                                                                                                                                                                                                                                                                                                      ;              ;
;             |cpu_nios2_avalon_reg:the_cpu_nios2_avalon_reg|                                                                                                                                                                                     ; 16 (16)     ; 11 (11)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 5 (5)        ; 0 (0)             ; 11 (11)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_avalon_reg:the_cpu_nios2_avalon_reg                                                                                                                                                                                                                                                                                                                                                                         ;              ;
;             |cpu_nios2_oci_break:the_cpu_nios2_oci_break|                                                                                                                                                                                       ; 33 (33)     ; 32 (32)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 33 (33)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_break:the_cpu_nios2_oci_break                                                                                                                                                                                                                                                                                                                                                                           ;              ;
;             |cpu_nios2_oci_debug:the_cpu_nios2_oci_debug|                                                                                                                                                                                       ; 12 (12)     ; 7 (7)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 3 (3)        ; 1 (1)             ; 8 (8)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_oci_debug:the_cpu_nios2_oci_debug                                                                                                                                                                                                                                                                                                                                                                           ;              ;
;             |cpu_nios2_ocimem:the_cpu_nios2_ocimem|                                                                                                                                                                                             ; 75 (75)     ; 44 (44)                   ; 0 (0)         ; 8192        ; 2    ; 0            ; 0       ; 0         ; 0    ; 0            ; 25 (25)      ; 1 (1)             ; 49 (49)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_ocimem:the_cpu_nios2_ocimem                                                                                                                                                                                                                                                                                                                                                                                 ;              ;
;                |cpu_ociram_lpm_dram_bdp_component_module:cpu_ociram_lpm_dram_bdp_component|                                                                                                                                                     ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 8192        ; 2    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_ocimem:the_cpu_nios2_ocimem|cpu_ociram_lpm_dram_bdp_component_module:cpu_ociram_lpm_dram_bdp_component                                                                                                                                                                                                                                                                                                      ;              ;
;                   |altsyncram:the_altsyncram|                                                                                                                                                                                                   ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 8192        ; 2    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_ocimem:the_cpu_nios2_ocimem|cpu_ociram_lpm_dram_bdp_component_module:cpu_ociram_lpm_dram_bdp_component|altsyncram:the_altsyncram                                                                                                                                                                                                                                                                            ;              ;
;                      |altsyncram_6472:auto_generated|                                                                                                                                                                                           ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 8192        ; 2    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu:the_cpu|cpu_nios2_oci:the_cpu_nios2_oci|cpu_nios2_ocimem:the_cpu_nios2_ocimem|cpu_ociram_lpm_dram_bdp_component_module:cpu_ociram_lpm_dram_bdp_component|altsyncram:the_altsyncram|altsyncram_6472:auto_generated                                                                                                                                                                                                                                             ;              ;
;          |cpu_register_bank_a_module:cpu_register_bank_a|                                                                                                                                                                                       ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 1024        ; 1    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu:the_cpu|cpu_register_bank_a_module:cpu_register_bank_a                                                                                                                                                                                                                                                                                                                                                                                                        ;              ;
;             |altsyncram:the_altsyncram|                                                                                                                                                                                                         ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 1024        ; 1    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu:the_cpu|cpu_register_bank_a_module:cpu_register_bank_a|altsyncram:the_altsyncram                                                                                                                                                                                                                                                                                                                                                                              ;              ;
;                |altsyncram_cqf1:auto_generated|                                                                                                                                                                                                 ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 1024        ; 1    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu:the_cpu|cpu_register_bank_a_module:cpu_register_bank_a|altsyncram:the_altsyncram|altsyncram_cqf1:auto_generated                                                                                                                                                                                                                                                                                                                                               ;              ;
;          |cpu_register_bank_b_module:cpu_register_bank_b|                                                                                                                                                                                       ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 1024        ; 1    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu:the_cpu|cpu_register_bank_b_module:cpu_register_bank_b                                                                                                                                                                                                                                                                                                                                                                                                        ;              ;
;             |altsyncram:the_altsyncram|                                                                                                                                                                                                         ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 1024        ; 1    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu:the_cpu|cpu_register_bank_b_module:cpu_register_bank_b|altsyncram:the_altsyncram                                                                                                                                                                                                                                                                                                                                                                              ;              ;
;                |altsyncram_dqf1:auto_generated|                                                                                                                                                                                                 ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 1024        ; 1    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu:the_cpu|cpu_register_bank_b_module:cpu_register_bank_b|altsyncram:the_altsyncram|altsyncram_dqf1:auto_generated                                                                                                                                                                                                                                                                                                                                               ;              ;
;          |cpu_test_bench:the_cpu_test_bench|                                                                                                                                                                                                    ; 33 (33)     ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 33 (33)      ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu:the_cpu|cpu_test_bench:the_cpu_test_bench                                                                                                                                                                                                                                                                                                                                                                                                                     ;              ;
;          |lpm_add_sub:Add8|                                                                                                                                                                                                                     ; 67 (0)      ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 67 (0)       ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu:the_cpu|lpm_add_sub:Add8                                                                                                                                                                                                                                                                                                                                                                                                                                      ;              ;
;             |add_sub_hui:auto_generated|                                                                                                                                                                                                        ; 67 (67)     ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 67 (67)      ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu:the_cpu|lpm_add_sub:Add8|add_sub_hui:auto_generated                                                                                                                                                                                                                                                                                                                                                                                                           ;              ;
;       |cpu_data_master_arbitrator:the_cpu_data_master|                                                                                                                                                                                          ; 131 (113)   ; 57 (39)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 37 (37)      ; 17 (4)            ; 77 (72)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu_data_master_arbitrator:the_cpu_data_master                                                                                                                                                                                                                                                                                                                                                                                                                    ;              ;
;          |button_pio_s1_irq_from_sa_clock_crossing_cpu_data_master_module:button_pio_s1_irq_from_sa_clock_crossing_cpu_data_master|                                                                                                             ; 2 (2)       ; 2 (2)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 1 (1)             ; 1 (1)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu_data_master_arbitrator:the_cpu_data_master|button_pio_s1_irq_from_sa_clock_crossing_cpu_data_master_module:button_pio_s1_irq_from_sa_clock_crossing_cpu_data_master                                                                                                                                                                                                                                                                                           ;              ;
;          |high_res_timer_s1_irq_from_sa_clock_crossing_cpu_data_master_module:high_res_timer_s1_irq_from_sa_clock_crossing_cpu_data_master|                                                                                                     ; 2 (2)       ; 2 (2)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 1 (1)             ; 1 (1)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu_data_master_arbitrator:the_cpu_data_master|high_res_timer_s1_irq_from_sa_clock_crossing_cpu_data_master_module:high_res_timer_s1_irq_from_sa_clock_crossing_cpu_data_master                                                                                                                                                                                                                                                                                   ;              ;
;          |jtag_uart_avalon_jtag_slave_irq_from_sa_clock_crossing_cpu_data_master_module:jtag_uart_avalon_jtag_slave_irq_from_sa_clock_crossing_cpu_data_master|                                                                                 ; 2 (2)       ; 2 (2)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 1 (1)             ; 1 (1)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu_data_master_arbitrator:the_cpu_data_master|jtag_uart_avalon_jtag_slave_irq_from_sa_clock_crossing_cpu_data_master_module:jtag_uart_avalon_jtag_slave_irq_from_sa_clock_crossing_cpu_data_master                                                                                                                                                                                                                                                               ;              ;
;          |lcd_sgdma_csr_irq_from_sa_clock_crossing_cpu_data_master_module:lcd_sgdma_csr_irq_from_sa_clock_crossing_cpu_data_master|                                                                                                             ; 2 (2)       ; 2 (2)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 2 (2)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu_data_master_arbitrator:the_cpu_data_master|lcd_sgdma_csr_irq_from_sa_clock_crossing_cpu_data_master_module:lcd_sgdma_csr_irq_from_sa_clock_crossing_cpu_data_master                                                                                                                                                                                                                                                                                           ;              ;
;          |raytrac_0_rtSlave_irq_from_sa_clock_crossing_cpu_data_master_module:raytrac_0_rtSlave_irq_from_sa_clock_crossing_cpu_data_master|                                                                                                     ; 2 (2)       ; 2 (2)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 2 (2)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu_data_master_arbitrator:the_cpu_data_master|raytrac_0_rtSlave_irq_from_sa_clock_crossing_cpu_data_master_module:raytrac_0_rtSlave_irq_from_sa_clock_crossing_cpu_data_master                                                                                                                                                                                                                                                                                   ;              ;
;          |sys_clk_timer_s1_irq_from_sa_clock_crossing_cpu_data_master_module:sys_clk_timer_s1_irq_from_sa_clock_crossing_cpu_data_master|                                                                                                       ; 2 (2)       ; 2 (2)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 1 (1)             ; 1 (1)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu_data_master_arbitrator:the_cpu_data_master|sys_clk_timer_s1_irq_from_sa_clock_crossing_cpu_data_master_module:sys_clk_timer_s1_irq_from_sa_clock_crossing_cpu_data_master                                                                                                                                                                                                                                                                                     ;              ;
;          |touch_panel_pen_irq_n_s1_irq_from_sa_clock_crossing_cpu_data_master_module:touch_panel_pen_irq_n_s1_irq_from_sa_clock_crossing_cpu_data_master|                                                                                       ; 2 (2)       ; 2 (2)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 1 (1)             ; 1 (1)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu_data_master_arbitrator:the_cpu_data_master|touch_panel_pen_irq_n_s1_irq_from_sa_clock_crossing_cpu_data_master_module:touch_panel_pen_irq_n_s1_irq_from_sa_clock_crossing_cpu_data_master                                                                                                                                                                                                                                                                     ;              ;
;          |touch_panel_spi_spi_control_port_irq_from_sa_clock_crossing_cpu_data_master_module:touch_panel_spi_spi_control_port_irq_from_sa_clock_crossing_cpu_data_master|                                                                       ; 2 (2)       ; 2 (2)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 2 (2)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu_data_master_arbitrator:the_cpu_data_master|touch_panel_spi_spi_control_port_irq_from_sa_clock_crossing_cpu_data_master_module:touch_panel_spi_spi_control_port_irq_from_sa_clock_crossing_cpu_data_master                                                                                                                                                                                                                                                     ;              ;
;          |uart1_s1_irq_from_sa_clock_crossing_cpu_data_master_module:uart1_s1_irq_from_sa_clock_crossing_cpu_data_master|                                                                                                                       ; 2 (2)       ; 2 (2)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 2 (2)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu_data_master_arbitrator:the_cpu_data_master|uart1_s1_irq_from_sa_clock_crossing_cpu_data_master_module:uart1_s1_irq_from_sa_clock_crossing_cpu_data_master                                                                                                                                                                                                                                                                                                     ;              ;
;       |cpu_ddr_clock_bridge:the_cpu_ddr_clock_bridge|                                                                                                                                                                                           ; 198 (7)     ; 162 (3)                   ; 0 (0)         ; 2544        ; 3    ; 0            ; 0       ; 0         ; 0    ; 0            ; 36 (3)       ; 61 (1)            ; 101 (2)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu_ddr_clock_bridge:the_cpu_ddr_clock_bridge                                                                                                                                                                                                                                                                                                                                                                                                                     ;              ;
;          |cpu_ddr_clock_bridge_downstream_fifo:the_downstream_fifo|                                                                                                                                                                             ; 57 (0)      ; 48 (0)                    ; 0 (0)         ; 496         ; 2    ; 0            ; 0       ; 0         ; 0    ; 0            ; 9 (0)        ; 11 (0)            ; 37 (0)           ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu_ddr_clock_bridge:the_cpu_ddr_clock_bridge|cpu_ddr_clock_bridge_downstream_fifo:the_downstream_fifo                                                                                                                                                                                                                                                                                                                                                            ;              ;
;             |dcfifo:downstream_fifo|                                                                                                                                                                                                            ; 57 (0)      ; 48 (0)                    ; 0 (0)         ; 496         ; 2    ; 0            ; 0       ; 0         ; 0    ; 0            ; 9 (0)        ; 11 (0)            ; 37 (0)           ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu_ddr_clock_bridge:the_cpu_ddr_clock_bridge|cpu_ddr_clock_bridge_downstream_fifo:the_downstream_fifo|dcfifo:downstream_fifo                                                                                                                                                                                                                                                                                                                                     ;              ;
;                |dcfifo_1tf1:auto_generated|                                                                                                                                                                                                     ; 57 (15)     ; 48 (12)                   ; 0 (0)         ; 496         ; 2    ; 0            ; 0       ; 0         ; 0    ; 0            ; 9 (2)        ; 11 (2)            ; 37 (7)           ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu_ddr_clock_bridge:the_cpu_ddr_clock_bridge|cpu_ddr_clock_bridge_downstream_fifo:the_downstream_fifo|dcfifo:downstream_fifo|dcfifo_1tf1:auto_generated                                                                                                                                                                                                                                                                                                          ;              ;
;                   |a_graycounter_aic:wrptr_gp|                                                                                                                                                                                                  ; 7 (7)       ; 5 (5)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 2 (2)        ; 0 (0)             ; 5 (5)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu_ddr_clock_bridge:the_cpu_ddr_clock_bridge|cpu_ddr_clock_bridge_downstream_fifo:the_downstream_fifo|dcfifo:downstream_fifo|dcfifo_1tf1:auto_generated|a_graycounter_aic:wrptr_gp                                                                                                                                                                                                                                                                               ;              ;
;                   |a_graycounter_bic:wrptr_g1p|                                                                                                                                                                                                 ; 6 (6)       ; 5 (5)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 0 (0)             ; 5 (5)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu_ddr_clock_bridge:the_cpu_ddr_clock_bridge|cpu_ddr_clock_bridge_downstream_fifo:the_downstream_fifo|dcfifo:downstream_fifo|dcfifo_1tf1:auto_generated|a_graycounter_bic:wrptr_g1p                                                                                                                                                                                                                                                                              ;              ;
;                   |a_graycounter_f47:rdptr_g1p|                                                                                                                                                                                                 ; 13 (13)     ; 10 (10)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 0 (0)             ; 12 (12)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu_ddr_clock_bridge:the_cpu_ddr_clock_bridge|cpu_ddr_clock_bridge_downstream_fifo:the_downstream_fifo|dcfifo:downstream_fifo|dcfifo_1tf1:auto_generated|a_graycounter_f47:rdptr_g1p                                                                                                                                                                                                                                                                              ;              ;
;                   |alt_synch_pipe_dkd:rs_dgwp|                                                                                                                                                                                                  ; 8 (0)       ; 8 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 4 (0)             ; 4 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu_ddr_clock_bridge:the_cpu_ddr_clock_bridge|cpu_ddr_clock_bridge_downstream_fifo:the_downstream_fifo|dcfifo:downstream_fifo|dcfifo_1tf1:auto_generated|alt_synch_pipe_dkd:rs_dgwp                                                                                                                                                                                                                                                                               ;              ;
;                      |dffpipe_cd9:dffpipe12|                                                                                                                                                                                                    ; 8 (8)       ; 8 (8)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 4 (4)             ; 4 (4)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu_ddr_clock_bridge:the_cpu_ddr_clock_bridge|cpu_ddr_clock_bridge_downstream_fifo:the_downstream_fifo|dcfifo:downstream_fifo|dcfifo_1tf1:auto_generated|alt_synch_pipe_dkd:rs_dgwp|dffpipe_cd9:dffpipe12                                                                                                                                                                                                                                                         ;              ;
;                   |alt_synch_pipe_ekd:ws_dgrp|                                                                                                                                                                                                  ; 8 (0)       ; 8 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 5 (0)             ; 3 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu_ddr_clock_bridge:the_cpu_ddr_clock_bridge|cpu_ddr_clock_bridge_downstream_fifo:the_downstream_fifo|dcfifo:downstream_fifo|dcfifo_1tf1:auto_generated|alt_synch_pipe_ekd:ws_dgrp                                                                                                                                                                                                                                                                               ;              ;
;                      |dffpipe_dd9:dffpipe15|                                                                                                                                                                                                    ; 8 (8)       ; 8 (8)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 5 (5)             ; 3 (3)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu_ddr_clock_bridge:the_cpu_ddr_clock_bridge|cpu_ddr_clock_bridge_downstream_fifo:the_downstream_fifo|dcfifo:downstream_fifo|dcfifo_1tf1:auto_generated|alt_synch_pipe_ekd:ws_dgrp|dffpipe_dd9:dffpipe15                                                                                                                                                                                                                                                         ;              ;
;                   |altsyncram_ni31:fifo_ram|                                                                                                                                                                                                    ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 496         ; 2    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu_ddr_clock_bridge:the_cpu_ddr_clock_bridge|cpu_ddr_clock_bridge_downstream_fifo:the_downstream_fifo|dcfifo:downstream_fifo|dcfifo_1tf1:auto_generated|altsyncram_ni31:fifo_ram                                                                                                                                                                                                                                                                                 ;              ;
;                   |mux_a18:rdemp_eq_comp_lsb_mux|                                                                                                                                                                                               ; 3 (3)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 0 (0)             ; 2 (2)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu_ddr_clock_bridge:the_cpu_ddr_clock_bridge|cpu_ddr_clock_bridge_downstream_fifo:the_downstream_fifo|dcfifo:downstream_fifo|dcfifo_1tf1:auto_generated|mux_a18:rdemp_eq_comp_lsb_mux                                                                                                                                                                                                                                                                            ;              ;
;                   |mux_a18:rdemp_eq_comp_msb_mux|                                                                                                                                                                                               ; 3 (3)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 0 (0)             ; 2 (2)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu_ddr_clock_bridge:the_cpu_ddr_clock_bridge|cpu_ddr_clock_bridge_downstream_fifo:the_downstream_fifo|dcfifo:downstream_fifo|dcfifo_1tf1:auto_generated|mux_a18:rdemp_eq_comp_msb_mux                                                                                                                                                                                                                                                                            ;              ;
;                   |mux_a18:wrfull_eq_comp_lsb_mux|                                                                                                                                                                                              ; 3 (3)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 3 (3)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu_ddr_clock_bridge:the_cpu_ddr_clock_bridge|cpu_ddr_clock_bridge_downstream_fifo:the_downstream_fifo|dcfifo:downstream_fifo|dcfifo_1tf1:auto_generated|mux_a18:wrfull_eq_comp_lsb_mux                                                                                                                                                                                                                                                                           ;              ;
;                   |mux_a18:wrfull_eq_comp_msb_mux|                                                                                                                                                                                              ; 3 (3)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 0 (0)             ; 2 (2)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu_ddr_clock_bridge:the_cpu_ddr_clock_bridge|cpu_ddr_clock_bridge_downstream_fifo:the_downstream_fifo|dcfifo:downstream_fifo|dcfifo_1tf1:auto_generated|mux_a18:wrfull_eq_comp_msb_mux                                                                                                                                                                                                                                                                           ;              ;
;          |cpu_ddr_clock_bridge_upstream_fifo:the_upstream_fifo|                                                                                                                                                                                 ; 136 (0)     ; 111 (0)                   ; 0 (0)         ; 2048        ; 1    ; 0            ; 0       ; 0         ; 0    ; 0            ; 24 (0)       ; 49 (0)            ; 63 (0)           ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu_ddr_clock_bridge:the_cpu_ddr_clock_bridge|cpu_ddr_clock_bridge_upstream_fifo:the_upstream_fifo                                                                                                                                                                                                                                                                                                                                                                ;              ;
;             |dcfifo:upstream_fifo|                                                                                                                                                                                                              ; 136 (0)     ; 111 (0)                   ; 0 (0)         ; 2048        ; 1    ; 0            ; 0       ; 0         ; 0    ; 0            ; 24 (0)       ; 49 (0)            ; 63 (0)           ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu_ddr_clock_bridge:the_cpu_ddr_clock_bridge|cpu_ddr_clock_bridge_upstream_fifo:the_upstream_fifo|dcfifo:upstream_fifo                                                                                                                                                                                                                                                                                                                                           ;              ;
;                |dcfifo_u1g1:auto_generated|                                                                                                                                                                                                     ; 136 (41)    ; 111 (35)                  ; 0 (0)         ; 2048        ; 1    ; 0            ; 0       ; 0         ; 0    ; 0            ; 24 (2)       ; 49 (19)           ; 63 (8)           ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu_ddr_clock_bridge:the_cpu_ddr_clock_bridge|cpu_ddr_clock_bridge_upstream_fifo:the_upstream_fifo|dcfifo:upstream_fifo|dcfifo_u1g1:auto_generated                                                                                                                                                                                                                                                                                                                ;              ;
;                   |a_gray2bin_jfb:wrptr_g_gray2bin|                                                                                                                                                                                             ; 5 (5)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 0 (0)             ; 4 (4)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu_ddr_clock_bridge:the_cpu_ddr_clock_bridge|cpu_ddr_clock_bridge_upstream_fifo:the_upstream_fifo|dcfifo:upstream_fifo|dcfifo_u1g1:auto_generated|a_gray2bin_jfb:wrptr_g_gray2bin                                                                                                                                                                                                                                                                                ;              ;
;                   |a_gray2bin_jfb:ws_dgrp_gray2bin|                                                                                                                                                                                             ; 6 (6)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 6 (6)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu_ddr_clock_bridge:the_cpu_ddr_clock_bridge|cpu_ddr_clock_bridge_upstream_fifo:the_upstream_fifo|dcfifo:upstream_fifo|dcfifo_u1g1:auto_generated|a_gray2bin_jfb:ws_dgrp_gray2bin                                                                                                                                                                                                                                                                                ;              ;
;                   |a_graycounter_dic:wrptr_gp|                                                                                                                                                                                                  ; 17 (17)     ; 11 (11)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 4 (4)        ; 2 (2)             ; 11 (11)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu_ddr_clock_bridge:the_cpu_ddr_clock_bridge|cpu_ddr_clock_bridge_upstream_fifo:the_upstream_fifo|dcfifo:upstream_fifo|dcfifo_u1g1:auto_generated|a_graycounter_dic:wrptr_gp                                                                                                                                                                                                                                                                                     ;              ;
;                   |a_graycounter_eic:wrptr_g1p|                                                                                                                                                                                                 ; 23 (23)     ; 12 (12)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 8 (8)        ; 6 (6)             ; 9 (9)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu_ddr_clock_bridge:the_cpu_ddr_clock_bridge|cpu_ddr_clock_bridge_upstream_fifo:the_upstream_fifo|dcfifo:upstream_fifo|dcfifo_u1g1:auto_generated|a_graycounter_eic:wrptr_g1p                                                                                                                                                                                                                                                                                    ;              ;
;                   |a_graycounter_i47:rdptr_g1p|                                                                                                                                                                                                 ; 14 (14)     ; 13 (13)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 14 (14)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu_ddr_clock_bridge:the_cpu_ddr_clock_bridge|cpu_ddr_clock_bridge_upstream_fifo:the_upstream_fifo|dcfifo:upstream_fifo|dcfifo_u1g1:auto_generated|a_graycounter_i47:rdptr_g1p                                                                                                                                                                                                                                                                                    ;              ;
;                   |alt_synch_pipe_gkd:rs_dgwp|                                                                                                                                                                                                  ; 14 (0)      ; 14 (0)                    ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 10 (0)            ; 4 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu_ddr_clock_bridge:the_cpu_ddr_clock_bridge|cpu_ddr_clock_bridge_upstream_fifo:the_upstream_fifo|dcfifo:upstream_fifo|dcfifo_u1g1:auto_generated|alt_synch_pipe_gkd:rs_dgwp                                                                                                                                                                                                                                                                                     ;              ;
;                      |dffpipe_fd9:dffpipe15|                                                                                                                                                                                                    ; 14 (14)     ; 14 (14)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 10 (10)           ; 4 (4)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu_ddr_clock_bridge:the_cpu_ddr_clock_bridge|cpu_ddr_clock_bridge_upstream_fifo:the_upstream_fifo|dcfifo:upstream_fifo|dcfifo_u1g1:auto_generated|alt_synch_pipe_gkd:rs_dgwp|dffpipe_fd9:dffpipe15                                                                                                                                                                                                                                                               ;              ;
;                   |alt_synch_pipe_hkd:ws_dgrp|                                                                                                                                                                                                  ; 14 (0)      ; 14 (0)                    ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 12 (0)            ; 2 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu_ddr_clock_bridge:the_cpu_ddr_clock_bridge|cpu_ddr_clock_bridge_upstream_fifo:the_upstream_fifo|dcfifo:upstream_fifo|dcfifo_u1g1:auto_generated|alt_synch_pipe_hkd:ws_dgrp                                                                                                                                                                                                                                                                                     ;              ;
;                      |dffpipe_gd9:dffpipe19|                                                                                                                                                                                                    ; 14 (14)     ; 14 (14)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 12 (12)           ; 2 (2)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu_ddr_clock_bridge:the_cpu_ddr_clock_bridge|cpu_ddr_clock_bridge_upstream_fifo:the_upstream_fifo|dcfifo:upstream_fifo|dcfifo_u1g1:auto_generated|alt_synch_pipe_hkd:ws_dgrp|dffpipe_gd9:dffpipe19                                                                                                                                                                                                                                                               ;              ;
;                   |altsyncram_di31:fifo_ram|                                                                                                                                                                                                    ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 2048        ; 1    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu_ddr_clock_bridge:the_cpu_ddr_clock_bridge|cpu_ddr_clock_bridge_upstream_fifo:the_upstream_fifo|dcfifo:upstream_fifo|dcfifo_u1g1:auto_generated|altsyncram_di31:fifo_ram                                                                                                                                                                                                                                                                                       ;              ;
;                   |cmpr_156:rdempty_eq_comp1_lsb|                                                                                                                                                                                               ; 1 (1)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 1 (1)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu_ddr_clock_bridge:the_cpu_ddr_clock_bridge|cpu_ddr_clock_bridge_upstream_fifo:the_upstream_fifo|dcfifo:upstream_fifo|dcfifo_u1g1:auto_generated|cmpr_156:rdempty_eq_comp1_lsb                                                                                                                                                                                                                                                                                  ;              ;
;                   |cmpr_156:wrfull_eq_comp1_lsb|                                                                                                                                                                                                ; 1 (1)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 1 (1)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu_ddr_clock_bridge:the_cpu_ddr_clock_bridge|cpu_ddr_clock_bridge_upstream_fifo:the_upstream_fifo|dcfifo:upstream_fifo|dcfifo_u1g1:auto_generated|cmpr_156:wrfull_eq_comp1_lsb                                                                                                                                                                                                                                                                                   ;              ;
;                   |dffpipe_ed9:ws_brp|                                                                                                                                                                                                          ; 6 (6)       ; 6 (6)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 6 (6)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu_ddr_clock_bridge:the_cpu_ddr_clock_bridge|cpu_ddr_clock_bridge_upstream_fifo:the_upstream_fifo|dcfifo:upstream_fifo|dcfifo_u1g1:auto_generated|dffpipe_ed9:ws_brp                                                                                                                                                                                                                                                                                             ;              ;
;                   |dffpipe_ed9:ws_bwp|                                                                                                                                                                                                          ; 6 (6)       ; 6 (6)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 6 (6)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu_ddr_clock_bridge:the_cpu_ddr_clock_bridge|cpu_ddr_clock_bridge_upstream_fifo:the_upstream_fifo|dcfifo:upstream_fifo|dcfifo_u1g1:auto_generated|dffpipe_ed9:ws_bwp                                                                                                                                                                                                                                                                                             ;              ;
;                   |mux_a18:rdemp_eq_comp_lsb_mux|                                                                                                                                                                                               ; 6 (6)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 0 (0)             ; 5 (5)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu_ddr_clock_bridge:the_cpu_ddr_clock_bridge|cpu_ddr_clock_bridge_upstream_fifo:the_upstream_fifo|dcfifo:upstream_fifo|dcfifo_u1g1:auto_generated|mux_a18:rdemp_eq_comp_lsb_mux                                                                                                                                                                                                                                                                                  ;              ;
;                   |mux_a18:rdemp_eq_comp_msb_mux|                                                                                                                                                                                               ; 5 (5)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 2 (2)        ; 0 (0)             ; 3 (3)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu_ddr_clock_bridge:the_cpu_ddr_clock_bridge|cpu_ddr_clock_bridge_upstream_fifo:the_upstream_fifo|dcfifo:upstream_fifo|dcfifo_u1g1:auto_generated|mux_a18:rdemp_eq_comp_msb_mux                                                                                                                                                                                                                                                                                  ;              ;
;                   |mux_a18:wrfull_eq_comp_lsb_mux|                                                                                                                                                                                              ; 5 (5)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 4 (4)        ; 0 (0)             ; 1 (1)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu_ddr_clock_bridge:the_cpu_ddr_clock_bridge|cpu_ddr_clock_bridge_upstream_fifo:the_upstream_fifo|dcfifo:upstream_fifo|dcfifo_u1g1:auto_generated|mux_a18:wrfull_eq_comp_lsb_mux                                                                                                                                                                                                                                                                                 ;              ;
;                   |mux_a18:wrfull_eq_comp_msb_mux|                                                                                                                                                                                              ; 4 (4)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 2 (2)        ; 0 (0)             ; 2 (2)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu_ddr_clock_bridge:the_cpu_ddr_clock_bridge|cpu_ddr_clock_bridge_upstream_fifo:the_upstream_fifo|dcfifo:upstream_fifo|dcfifo_u1g1:auto_generated|mux_a18:wrfull_eq_comp_msb_mux                                                                                                                                                                                                                                                                                 ;              ;
;       |cpu_ddr_clock_bridge_m1_arbitrator:the_cpu_ddr_clock_bridge_m1|                                                                                                                                                                          ; 201 (73)    ; 65 (1)                    ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 135 (72)     ; 0 (0)             ; 66 (1)           ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu_ddr_clock_bridge_m1_arbitrator:the_cpu_ddr_clock_bridge_m1                                                                                                                                                                                                                                                                                                                                                                                                    ;              ;
;          |selecto_nrdv_cpu_ddr_clock_bridge_m1_1_ddr_sdram_s1_fifo_module:selecto_nrdv_cpu_ddr_clock_bridge_m1_1_ddr_sdram_s1_fifo|                                                                                                             ; 128 (128)   ; 64 (64)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 63 (63)      ; 0 (0)             ; 65 (65)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu_ddr_clock_bridge_m1_arbitrator:the_cpu_ddr_clock_bridge_m1|selecto_nrdv_cpu_ddr_clock_bridge_m1_1_ddr_sdram_s1_fifo_module:selecto_nrdv_cpu_ddr_clock_bridge_m1_1_ddr_sdram_s1_fifo                                                                                                                                                                                                                                                                           ;              ;
;       |cpu_ddr_clock_bridge_s1_arbitrator:the_cpu_ddr_clock_bridge_s1|                                                                                                                                                                          ; 359 (75)    ; 182 (13)                  ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 174 (59)     ; 28 (3)            ; 157 (13)         ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu_ddr_clock_bridge_s1_arbitrator:the_cpu_ddr_clock_bridge_s1                                                                                                                                                                                                                                                                                                                                                                                                    ;              ;
;          |rdv_fifo_for_cpu_data_master_to_cpu_ddr_clock_bridge_s1_module:rdv_fifo_for_cpu_data_master_to_cpu_ddr_clock_bridge_s1|                                                                                                               ; 206 (206)   ; 113 (113)                 ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 93 (93)      ; 24 (24)           ; 89 (89)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu_ddr_clock_bridge_s1_arbitrator:the_cpu_ddr_clock_bridge_s1|rdv_fifo_for_cpu_data_master_to_cpu_ddr_clock_bridge_s1_module:rdv_fifo_for_cpu_data_master_to_cpu_ddr_clock_bridge_s1                                                                                                                                                                                                                                                                             ;              ;
;          |rdv_fifo_for_cpu_instruction_master_to_cpu_ddr_clock_bridge_s1_module:rdv_fifo_for_cpu_instruction_master_to_cpu_ddr_clock_bridge_s1|                                                                                                 ; 79 (79)     ; 56 (56)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 22 (22)      ; 1 (1)             ; 56 (56)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu_ddr_clock_bridge_s1_arbitrator:the_cpu_ddr_clock_bridge_s1|rdv_fifo_for_cpu_instruction_master_to_cpu_ddr_clock_bridge_s1_module:rdv_fifo_for_cpu_instruction_master_to_cpu_ddr_clock_bridge_s1                                                                                                                                                                                                                                                               ;              ;
;       |cpu_instruction_master_arbitrator:the_cpu_instruction_master|                                                                                                                                                                            ; 85 (85)     ; 4 (4)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 27 (27)      ; 2 (2)             ; 56 (56)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu_instruction_master_arbitrator:the_cpu_instruction_master                                                                                                                                                                                                                                                                                                                                                                                                      ;              ;
;       |cpu_jtag_debug_module_arbitrator:the_cpu_jtag_debug_module|                                                                                                                                                                              ; 38 (38)     ; 3 (3)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 31 (31)      ; 0 (0)             ; 7 (7)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cpu_jtag_debug_module_arbitrator:the_cpu_jtag_debug_module                                                                                                                                                                                                                                                                                                                                                                                                        ;              ;
;       |cycloneIII_3c25_niosII_video_sopc_burst_0:the_cycloneIII_3c25_niosII_video_sopc_burst_0|                                                                                                                                                 ; 106 (106)   ; 59 (59)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 47 (47)      ; 5 (5)             ; 54 (54)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cycloneIII_3c25_niosII_video_sopc_burst_0:the_cycloneIII_3c25_niosII_video_sopc_burst_0                                                                                                                                                                                                                                                                                                                                                                           ;              ;
;       |cycloneIII_3c25_niosII_video_sopc_burst_0_downstream_arbitrator:the_cycloneIII_3c25_niosII_video_sopc_burst_0_downstream|                                                                                                                ; 1 (1)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cycloneIII_3c25_niosII_video_sopc_burst_0_downstream_arbitrator:the_cycloneIII_3c25_niosII_video_sopc_burst_0_downstream                                                                                                                                                                                                                                                                                                                                          ;              ;
;       |cycloneIII_3c25_niosII_video_sopc_burst_0_upstream_arbitrator:the_cycloneIII_3c25_niosII_video_sopc_burst_0_upstream|                                                                                                                    ; 4 (4)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 4 (4)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cycloneIII_3c25_niosII_video_sopc_burst_0_upstream_arbitrator:the_cycloneIII_3c25_niosII_video_sopc_burst_0_upstream                                                                                                                                                                                                                                                                                                                                              ;              ;
;       |cycloneIII_3c25_niosII_video_sopc_burst_1:the_cycloneIII_3c25_niosII_video_sopc_burst_1|                                                                                                                                                 ; 260 (171)   ; 153 (75)                  ; 0 (0)         ; 1024        ; 2    ; 0            ; 0       ; 0         ; 0    ; 0            ; 106 (95)     ; 44 (26)           ; 110 (97)         ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cycloneIII_3c25_niosII_video_sopc_burst_1:the_cycloneIII_3c25_niosII_video_sopc_burst_1                                                                                                                                                                                                                                                                                                                                                                           ;              ;
;          |cycloneIII_3c25_niosII_video_sopc_burst_1_fifo_module:the_cycloneIII_3c25_niosII_video_sopc_burst_1_fifo_module|                                                                                                                      ; 89 (89)     ; 78 (78)                   ; 0 (0)         ; 1024        ; 2    ; 0            ; 0       ; 0         ; 0    ; 0            ; 11 (11)      ; 18 (18)           ; 60 (60)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cycloneIII_3c25_niosII_video_sopc_burst_1:the_cycloneIII_3c25_niosII_video_sopc_burst_1|cycloneIII_3c25_niosII_video_sopc_burst_1_fifo_module:the_cycloneIII_3c25_niosII_video_sopc_burst_1_fifo_module                                                                                                                                                                                                                                                           ;              ;
;             |cycloneIII_3c25_niosII_video_sopc_burst_1_fifo_module_fifo_ram_module:cycloneIII_3c25_niosII_video_sopc_burst_1_fifo_module_fifo_ram|                                                                                              ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 1024        ; 2    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cycloneIII_3c25_niosII_video_sopc_burst_1:the_cycloneIII_3c25_niosII_video_sopc_burst_1|cycloneIII_3c25_niosII_video_sopc_burst_1_fifo_module:the_cycloneIII_3c25_niosII_video_sopc_burst_1_fifo_module|cycloneIII_3c25_niosII_video_sopc_burst_1_fifo_module_fifo_ram_module:cycloneIII_3c25_niosII_video_sopc_burst_1_fifo_module_fifo_ram                                                                                                                      ;              ;
;                |lpm_ram_dp:lpm_ram_dp_component|                                                                                                                                                                                                ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 1024        ; 2    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cycloneIII_3c25_niosII_video_sopc_burst_1:the_cycloneIII_3c25_niosII_video_sopc_burst_1|cycloneIII_3c25_niosII_video_sopc_burst_1_fifo_module:the_cycloneIII_3c25_niosII_video_sopc_burst_1_fifo_module|cycloneIII_3c25_niosII_video_sopc_burst_1_fifo_module_fifo_ram_module:cycloneIII_3c25_niosII_video_sopc_burst_1_fifo_module_fifo_ram|lpm_ram_dp:lpm_ram_dp_component                                                                                      ;              ;
;                   |altdpram:sram|                                                                                                                                                                                                               ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 1024        ; 2    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cycloneIII_3c25_niosII_video_sopc_burst_1:the_cycloneIII_3c25_niosII_video_sopc_burst_1|cycloneIII_3c25_niosII_video_sopc_burst_1_fifo_module:the_cycloneIII_3c25_niosII_video_sopc_burst_1_fifo_module|cycloneIII_3c25_niosII_video_sopc_burst_1_fifo_module_fifo_ram_module:cycloneIII_3c25_niosII_video_sopc_burst_1_fifo_module_fifo_ram|lpm_ram_dp:lpm_ram_dp_component|altdpram:sram                                                                        ;              ;
;                      |altsyncram:ram_block|                                                                                                                                                                                                     ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 1024        ; 2    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cycloneIII_3c25_niosII_video_sopc_burst_1:the_cycloneIII_3c25_niosII_video_sopc_burst_1|cycloneIII_3c25_niosII_video_sopc_burst_1_fifo_module:the_cycloneIII_3c25_niosII_video_sopc_burst_1_fifo_module|cycloneIII_3c25_niosII_video_sopc_burst_1_fifo_module_fifo_ram_module:cycloneIII_3c25_niosII_video_sopc_burst_1_fifo_module_fifo_ram|lpm_ram_dp:lpm_ram_dp_component|altdpram:sram|altsyncram:ram_block                                                   ;              ;
;                         |altsyncram_kbq1:auto_generated|                                                                                                                                                                                        ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 1024        ; 2    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cycloneIII_3c25_niosII_video_sopc_burst_1:the_cycloneIII_3c25_niosII_video_sopc_burst_1|cycloneIII_3c25_niosII_video_sopc_burst_1_fifo_module:the_cycloneIII_3c25_niosII_video_sopc_burst_1_fifo_module|cycloneIII_3c25_niosII_video_sopc_burst_1_fifo_module_fifo_ram_module:cycloneIII_3c25_niosII_video_sopc_burst_1_fifo_module_fifo_ram|lpm_ram_dp:lpm_ram_dp_component|altdpram:sram|altsyncram:ram_block|altsyncram_kbq1:auto_generated                    ;              ;
;       |cycloneIII_3c25_niosII_video_sopc_burst_1_downstream_arbitrator:the_cycloneIII_3c25_niosII_video_sopc_burst_1_downstream|                                                                                                                ; 1 (1)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cycloneIII_3c25_niosII_video_sopc_burst_1_downstream_arbitrator:the_cycloneIII_3c25_niosII_video_sopc_burst_1_downstream                                                                                                                                                                                                                                                                                                                                          ;              ;
;       |cycloneIII_3c25_niosII_video_sopc_burst_1_upstream_arbitrator:the_cycloneIII_3c25_niosII_video_sopc_burst_1_upstream|                                                                                                                    ; 16 (16)     ; 5 (5)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 10 (10)      ; 0 (0)             ; 6 (6)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cycloneIII_3c25_niosII_video_sopc_burst_1_upstream_arbitrator:the_cycloneIII_3c25_niosII_video_sopc_burst_1_upstream                                                                                                                                                                                                                                                                                                                                              ;              ;
;       |cycloneIII_3c25_niosII_video_sopc_burst_2:the_cycloneIII_3c25_niosII_video_sopc_burst_2|                                                                                                                                                 ; 109 (109)   ; 56 (56)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 52 (52)      ; 25 (25)           ; 32 (32)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cycloneIII_3c25_niosII_video_sopc_burst_2:the_cycloneIII_3c25_niosII_video_sopc_burst_2                                                                                                                                                                                                                                                                                                                                                                           ;              ;
;       |cycloneIII_3c25_niosII_video_sopc_burst_2_downstream_arbitrator:the_cycloneIII_3c25_niosII_video_sopc_burst_2_downstream|                                                                                                                ; 1 (1)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cycloneIII_3c25_niosII_video_sopc_burst_2_downstream_arbitrator:the_cycloneIII_3c25_niosII_video_sopc_burst_2_downstream                                                                                                                                                                                                                                                                                                                                          ;              ;
;       |cycloneIII_3c25_niosII_video_sopc_burst_2_upstream_arbitrator:the_cycloneIII_3c25_niosII_video_sopc_burst_2_upstream|                                                                                                                    ; 612 (27)    ; 414 (6)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 198 (21)     ; 5 (0)             ; 409 (6)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cycloneIII_3c25_niosII_video_sopc_burst_2_upstream_arbitrator:the_cycloneIII_3c25_niosII_video_sopc_burst_2_upstream                                                                                                                                                                                                                                                                                                                                              ;              ;
;          |burstcount_fifo_for_cycloneIII_3c25_niosII_video_sopc_burst_2_upstream_module:burstcount_fifo_for_cycloneIII_3c25_niosII_video_sopc_burst_2_upstream|                                                                                 ; 352 (352)   ; 300 (300)                 ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 52 (52)      ; 5 (5)             ; 295 (295)        ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cycloneIII_3c25_niosII_video_sopc_burst_2_upstream_arbitrator:the_cycloneIII_3c25_niosII_video_sopc_burst_2_upstream|burstcount_fifo_for_cycloneIII_3c25_niosII_video_sopc_burst_2_upstream_module:burstcount_fifo_for_cycloneIII_3c25_niosII_video_sopc_burst_2_upstream                                                                                                                                                                                         ;              ;
;          |rdv_fifo_for_raytrac_0_rtMaster_to_cycloneIII_3c25_niosII_video_sopc_burst_2_upstream_module:rdv_fifo_for_raytrac_0_rtMaster_to_cycloneIII_3c25_niosII_video_sopc_burst_2_upstream|                                                   ; 233 (233)   ; 108 (108)                 ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 125 (125)    ; 0 (0)             ; 108 (108)        ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cycloneIII_3c25_niosII_video_sopc_burst_2_upstream_arbitrator:the_cycloneIII_3c25_niosII_video_sopc_burst_2_upstream|rdv_fifo_for_raytrac_0_rtMaster_to_cycloneIII_3c25_niosII_video_sopc_burst_2_upstream_module:rdv_fifo_for_raytrac_0_rtMaster_to_cycloneIII_3c25_niosII_video_sopc_burst_2_upstream                                                                                                                                                           ;              ;
;       |cycloneIII_3c25_niosII_video_sopc_clock_0:the_cycloneIII_3c25_niosII_video_sopc_clock_0|                                                                                                                                                 ; 100 (70)    ; 95 (70)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 4 (0)        ; 48 (37)           ; 48 (34)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cycloneIII_3c25_niosII_video_sopc_clock_0:the_cycloneIII_3c25_niosII_video_sopc_clock_0                                                                                                                                                                                                                                                                                                                                                                           ;              ;
;          |altera_std_synchronizer:the_altera_std_synchronizer1|                                                                                                                                                                                 ; 2 (2)       ; 2 (2)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 1 (1)             ; 1 (1)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cycloneIII_3c25_niosII_video_sopc_clock_0:the_cycloneIII_3c25_niosII_video_sopc_clock_0|altera_std_synchronizer:the_altera_std_synchronizer1                                                                                                                                                                                                                                                                                                                      ;              ;
;          |altera_std_synchronizer:the_altera_std_synchronizer2|                                                                                                                                                                                 ; 2 (2)       ; 2 (2)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 2 (2)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cycloneIII_3c25_niosII_video_sopc_clock_0:the_cycloneIII_3c25_niosII_video_sopc_clock_0|altera_std_synchronizer:the_altera_std_synchronizer2                                                                                                                                                                                                                                                                                                                      ;              ;
;          |altera_std_synchronizer:the_altera_std_synchronizer3|                                                                                                                                                                                 ; 2 (2)       ; 2 (2)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 2 (2)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cycloneIII_3c25_niosII_video_sopc_clock_0:the_cycloneIII_3c25_niosII_video_sopc_clock_0|altera_std_synchronizer:the_altera_std_synchronizer3                                                                                                                                                                                                                                                                                                                      ;              ;
;          |altera_std_synchronizer:the_altera_std_synchronizer|                                                                                                                                                                                  ; 2 (2)       ; 2 (2)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 2 (2)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cycloneIII_3c25_niosII_video_sopc_clock_0:the_cycloneIII_3c25_niosII_video_sopc_clock_0|altera_std_synchronizer:the_altera_std_synchronizer                                                                                                                                                                                                                                                                                                                       ;              ;
;          |cycloneIII_3c25_niosII_video_sopc_clock_0_edge_to_pulse:read_done_edge_to_pulse|                                                                                                                                                      ; 1 (1)       ; 1 (1)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 1 (1)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cycloneIII_3c25_niosII_video_sopc_clock_0:the_cycloneIII_3c25_niosII_video_sopc_clock_0|cycloneIII_3c25_niosII_video_sopc_clock_0_edge_to_pulse:read_done_edge_to_pulse                                                                                                                                                                                                                                                                                           ;              ;
;          |cycloneIII_3c25_niosII_video_sopc_clock_0_edge_to_pulse:read_request_edge_to_pulse|                                                                                                                                                   ; 2 (2)       ; 1 (1)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 2 (2)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cycloneIII_3c25_niosII_video_sopc_clock_0:the_cycloneIII_3c25_niosII_video_sopc_clock_0|cycloneIII_3c25_niosII_video_sopc_clock_0_edge_to_pulse:read_request_edge_to_pulse                                                                                                                                                                                                                                                                                        ;              ;
;          |cycloneIII_3c25_niosII_video_sopc_clock_0_edge_to_pulse:write_done_edge_to_pulse|                                                                                                                                                     ; 1 (1)       ; 1 (1)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 1 (1)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cycloneIII_3c25_niosII_video_sopc_clock_0:the_cycloneIII_3c25_niosII_video_sopc_clock_0|cycloneIII_3c25_niosII_video_sopc_clock_0_edge_to_pulse:write_done_edge_to_pulse                                                                                                                                                                                                                                                                                          ;              ;
;          |cycloneIII_3c25_niosII_video_sopc_clock_0_edge_to_pulse:write_request_edge_to_pulse|                                                                                                                                                  ; 1 (1)       ; 1 (1)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 1 (1)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cycloneIII_3c25_niosII_video_sopc_clock_0:the_cycloneIII_3c25_niosII_video_sopc_clock_0|cycloneIII_3c25_niosII_video_sopc_clock_0_edge_to_pulse:write_request_edge_to_pulse                                                                                                                                                                                                                                                                                       ;              ;
;          |cycloneIII_3c25_niosII_video_sopc_clock_0_master_FSM:master_FSM|                                                                                                                                                                      ; 14 (14)     ; 9 (9)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 3 (3)        ; 4 (4)             ; 7 (7)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cycloneIII_3c25_niosII_video_sopc_clock_0:the_cycloneIII_3c25_niosII_video_sopc_clock_0|cycloneIII_3c25_niosII_video_sopc_clock_0_master_FSM:master_FSM                                                                                                                                                                                                                                                                                                           ;              ;
;          |cycloneIII_3c25_niosII_video_sopc_clock_0_slave_FSM:slave_FSM|                                                                                                                                                                        ; 7 (7)       ; 4 (4)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 0 (0)             ; 6 (6)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cycloneIII_3c25_niosII_video_sopc_clock_0:the_cycloneIII_3c25_niosII_video_sopc_clock_0|cycloneIII_3c25_niosII_video_sopc_clock_0_slave_FSM:slave_FSM                                                                                                                                                                                                                                                                                                             ;              ;
;       |cycloneIII_3c25_niosII_video_sopc_clock_0_in_arbitrator:the_cycloneIII_3c25_niosII_video_sopc_clock_0_in|                                                                                                                                ; 5 (5)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 5 (5)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cycloneIII_3c25_niosII_video_sopc_clock_0_in_arbitrator:the_cycloneIII_3c25_niosII_video_sopc_clock_0_in                                                                                                                                                                                                                                                                                                                                                          ;              ;
;       |cycloneIII_3c25_niosII_video_sopc_clock_1:the_cycloneIII_3c25_niosII_video_sopc_clock_1|                                                                                                                                                 ; 345 (316)   ; 344 (316)                 ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (0)        ; 201 (192)         ; 143 (125)        ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cycloneIII_3c25_niosII_video_sopc_clock_1:the_cycloneIII_3c25_niosII_video_sopc_clock_1                                                                                                                                                                                                                                                                                                                                                                           ;              ;
;          |altera_std_synchronizer:the_altera_std_synchronizer1|                                                                                                                                                                                 ; 2 (2)       ; 2 (2)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 2 (2)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cycloneIII_3c25_niosII_video_sopc_clock_1:the_cycloneIII_3c25_niosII_video_sopc_clock_1|altera_std_synchronizer:the_altera_std_synchronizer1                                                                                                                                                                                                                                                                                                                      ;              ;
;          |altera_std_synchronizer:the_altera_std_synchronizer2|                                                                                                                                                                                 ; 2 (2)       ; 2 (2)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 2 (2)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cycloneIII_3c25_niosII_video_sopc_clock_1:the_cycloneIII_3c25_niosII_video_sopc_clock_1|altera_std_synchronizer:the_altera_std_synchronizer2                                                                                                                                                                                                                                                                                                                      ;              ;
;          |altera_std_synchronizer:the_altera_std_synchronizer3|                                                                                                                                                                                 ; 2 (2)       ; 2 (2)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 2 (2)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cycloneIII_3c25_niosII_video_sopc_clock_1:the_cycloneIII_3c25_niosII_video_sopc_clock_1|altera_std_synchronizer:the_altera_std_synchronizer3                                                                                                                                                                                                                                                                                                                      ;              ;
;          |altera_std_synchronizer:the_altera_std_synchronizer|                                                                                                                                                                                  ; 2 (2)       ; 2 (2)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 2 (2)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cycloneIII_3c25_niosII_video_sopc_clock_1:the_cycloneIII_3c25_niosII_video_sopc_clock_1|altera_std_synchronizer:the_altera_std_synchronizer                                                                                                                                                                                                                                                                                                                       ;              ;
;          |cycloneIII_3c25_niosII_video_sopc_clock_1_edge_to_pulse:read_done_edge_to_pulse|                                                                                                                                                      ; 1 (1)       ; 1 (1)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 1 (1)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cycloneIII_3c25_niosII_video_sopc_clock_1:the_cycloneIII_3c25_niosII_video_sopc_clock_1|cycloneIII_3c25_niosII_video_sopc_clock_1_edge_to_pulse:read_done_edge_to_pulse                                                                                                                                                                                                                                                                                           ;              ;
;          |cycloneIII_3c25_niosII_video_sopc_clock_1_edge_to_pulse:read_request_edge_to_pulse|                                                                                                                                                   ; 2 (2)       ; 1 (1)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 2 (2)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cycloneIII_3c25_niosII_video_sopc_clock_1:the_cycloneIII_3c25_niosII_video_sopc_clock_1|cycloneIII_3c25_niosII_video_sopc_clock_1_edge_to_pulse:read_request_edge_to_pulse                                                                                                                                                                                                                                                                                        ;              ;
;          |cycloneIII_3c25_niosII_video_sopc_clock_1_edge_to_pulse:write_done_edge_to_pulse|                                                                                                                                                     ; 1 (1)       ; 1 (1)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 1 (1)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cycloneIII_3c25_niosII_video_sopc_clock_1:the_cycloneIII_3c25_niosII_video_sopc_clock_1|cycloneIII_3c25_niosII_video_sopc_clock_1_edge_to_pulse:write_done_edge_to_pulse                                                                                                                                                                                                                                                                                          ;              ;
;          |cycloneIII_3c25_niosII_video_sopc_clock_1_edge_to_pulse:write_request_edge_to_pulse|                                                                                                                                                  ; 1 (1)       ; 1 (1)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 1 (1)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cycloneIII_3c25_niosII_video_sopc_clock_1:the_cycloneIII_3c25_niosII_video_sopc_clock_1|cycloneIII_3c25_niosII_video_sopc_clock_1_edge_to_pulse:write_request_edge_to_pulse                                                                                                                                                                                                                                                                                       ;              ;
;          |cycloneIII_3c25_niosII_video_sopc_clock_1_master_FSM:master_FSM|                                                                                                                                                                      ; 13 (13)     ; 12 (12)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 1 (1)             ; 12 (12)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cycloneIII_3c25_niosII_video_sopc_clock_1:the_cycloneIII_3c25_niosII_video_sopc_clock_1|cycloneIII_3c25_niosII_video_sopc_clock_1_master_FSM:master_FSM                                                                                                                                                                                                                                                                                                           ;              ;
;          |cycloneIII_3c25_niosII_video_sopc_clock_1_slave_FSM:slave_FSM|                                                                                                                                                                        ; 5 (5)       ; 4 (4)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 0 (0)             ; 4 (4)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cycloneIII_3c25_niosII_video_sopc_clock_1:the_cycloneIII_3c25_niosII_video_sopc_clock_1|cycloneIII_3c25_niosII_video_sopc_clock_1_slave_FSM:slave_FSM                                                                                                                                                                                                                                                                                                             ;              ;
;       |cycloneIII_3c25_niosII_video_sopc_clock_1_in_arbitrator:the_cycloneIII_3c25_niosII_video_sopc_clock_1_in|                                                                                                                                ; 10 (10)     ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 0 (0)             ; 9 (9)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cycloneIII_3c25_niosII_video_sopc_clock_1_in_arbitrator:the_cycloneIII_3c25_niosII_video_sopc_clock_1_in                                                                                                                                                                                                                                                                                                                                                          ;              ;
;       |cycloneIII_3c25_niosII_video_sopc_clock_1_out_arbitrator:the_cycloneIII_3c25_niosII_video_sopc_clock_1_out|                                                                                                                              ; 5 (5)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 4 (4)        ; 0 (0)             ; 1 (1)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cycloneIII_3c25_niosII_video_sopc_clock_1_out_arbitrator:the_cycloneIII_3c25_niosII_video_sopc_clock_1_out                                                                                                                                                                                                                                                                                                                                                        ;              ;
;       |cycloneIII_3c25_niosII_video_sopc_clock_2:the_cycloneIII_3c25_niosII_video_sopc_clock_2|                                                                                                                                                 ; 170 (141)   ; 166 (140)                 ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 4 (1)        ; 114 (105)         ; 52 (35)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cycloneIII_3c25_niosII_video_sopc_clock_2:the_cycloneIII_3c25_niosII_video_sopc_clock_2                                                                                                                                                                                                                                                                                                                                                                           ;              ;
;          |altera_std_synchronizer:the_altera_std_synchronizer1|                                                                                                                                                                                 ; 2 (2)       ; 2 (2)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 2 (2)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cycloneIII_3c25_niosII_video_sopc_clock_2:the_cycloneIII_3c25_niosII_video_sopc_clock_2|altera_std_synchronizer:the_altera_std_synchronizer1                                                                                                                                                                                                                                                                                                                      ;              ;
;          |altera_std_synchronizer:the_altera_std_synchronizer2|                                                                                                                                                                                 ; 2 (2)       ; 2 (2)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 2 (2)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cycloneIII_3c25_niosII_video_sopc_clock_2:the_cycloneIII_3c25_niosII_video_sopc_clock_2|altera_std_synchronizer:the_altera_std_synchronizer2                                                                                                                                                                                                                                                                                                                      ;              ;
;          |altera_std_synchronizer:the_altera_std_synchronizer3|                                                                                                                                                                                 ; 2 (2)       ; 2 (2)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 2 (2)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cycloneIII_3c25_niosII_video_sopc_clock_2:the_cycloneIII_3c25_niosII_video_sopc_clock_2|altera_std_synchronizer:the_altera_std_synchronizer3                                                                                                                                                                                                                                                                                                                      ;              ;
;          |altera_std_synchronizer:the_altera_std_synchronizer|                                                                                                                                                                                  ; 2 (2)       ; 2 (2)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 2 (2)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cycloneIII_3c25_niosII_video_sopc_clock_2:the_cycloneIII_3c25_niosII_video_sopc_clock_2|altera_std_synchronizer:the_altera_std_synchronizer                                                                                                                                                                                                                                                                                                                       ;              ;
;          |cycloneIII_3c25_niosII_video_sopc_clock_2_edge_to_pulse:read_done_edge_to_pulse|                                                                                                                                                      ; 1 (1)       ; 1 (1)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 1 (1)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cycloneIII_3c25_niosII_video_sopc_clock_2:the_cycloneIII_3c25_niosII_video_sopc_clock_2|cycloneIII_3c25_niosII_video_sopc_clock_2_edge_to_pulse:read_done_edge_to_pulse                                                                                                                                                                                                                                                                                           ;              ;
;          |cycloneIII_3c25_niosII_video_sopc_clock_2_edge_to_pulse:read_request_edge_to_pulse|                                                                                                                                                   ; 2 (2)       ; 1 (1)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 2 (2)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cycloneIII_3c25_niosII_video_sopc_clock_2:the_cycloneIII_3c25_niosII_video_sopc_clock_2|cycloneIII_3c25_niosII_video_sopc_clock_2_edge_to_pulse:read_request_edge_to_pulse                                                                                                                                                                                                                                                                                        ;              ;
;          |cycloneIII_3c25_niosII_video_sopc_clock_2_edge_to_pulse:write_done_edge_to_pulse|                                                                                                                                                     ; 1 (1)       ; 1 (1)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 1 (1)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cycloneIII_3c25_niosII_video_sopc_clock_2:the_cycloneIII_3c25_niosII_video_sopc_clock_2|cycloneIII_3c25_niosII_video_sopc_clock_2_edge_to_pulse:write_done_edge_to_pulse                                                                                                                                                                                                                                                                                          ;              ;
;          |cycloneIII_3c25_niosII_video_sopc_clock_2_edge_to_pulse:write_request_edge_to_pulse|                                                                                                                                                  ; 1 (1)       ; 1 (1)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 1 (1)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cycloneIII_3c25_niosII_video_sopc_clock_2:the_cycloneIII_3c25_niosII_video_sopc_clock_2|cycloneIII_3c25_niosII_video_sopc_clock_2_edge_to_pulse:write_request_edge_to_pulse                                                                                                                                                                                                                                                                                       ;              ;
;          |cycloneIII_3c25_niosII_video_sopc_clock_2_master_FSM:master_FSM|                                                                                                                                                                      ; 12 (12)     ; 10 (10)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 1 (1)             ; 11 (11)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cycloneIII_3c25_niosII_video_sopc_clock_2:the_cycloneIII_3c25_niosII_video_sopc_clock_2|cycloneIII_3c25_niosII_video_sopc_clock_2_master_FSM:master_FSM                                                                                                                                                                                                                                                                                                           ;              ;
;          |cycloneIII_3c25_niosII_video_sopc_clock_2_slave_FSM:slave_FSM|                                                                                                                                                                        ; 9 (9)       ; 4 (4)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 3 (3)        ; 0 (0)             ; 6 (6)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cycloneIII_3c25_niosII_video_sopc_clock_2:the_cycloneIII_3c25_niosII_video_sopc_clock_2|cycloneIII_3c25_niosII_video_sopc_clock_2_slave_FSM:slave_FSM                                                                                                                                                                                                                                                                                                             ;              ;
;       |cycloneIII_3c25_niosII_video_sopc_clock_2_in_arbitrator:the_cycloneIII_3c25_niosII_video_sopc_clock_2_in|                                                                                                                                ; 4 (4)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 4 (4)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cycloneIII_3c25_niosII_video_sopc_clock_2_in_arbitrator:the_cycloneIII_3c25_niosII_video_sopc_clock_2_in                                                                                                                                                                                                                                                                                                                                                          ;              ;
;       |cycloneIII_3c25_niosII_video_sopc_clock_2_out_arbitrator:the_cycloneIII_3c25_niosII_video_sopc_clock_2_out|                                                                                                                              ; 2 (2)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 2 (2)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cycloneIII_3c25_niosII_video_sopc_clock_2_out_arbitrator:the_cycloneIII_3c25_niosII_video_sopc_clock_2_out                                                                                                                                                                                                                                                                                                                                                        ;              ;
;       |cycloneIII_3c25_niosII_video_sopc_clock_3:the_cycloneIII_3c25_niosII_video_sopc_clock_3|                                                                                                                                                 ; 210 (183)   ; 208 (182)                 ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 2 (1)        ; 162 (155)         ; 46 (27)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cycloneIII_3c25_niosII_video_sopc_clock_3:the_cycloneIII_3c25_niosII_video_sopc_clock_3                                                                                                                                                                                                                                                                                                                                                                           ;              ;
;          |altera_std_synchronizer:the_altera_std_synchronizer1|                                                                                                                                                                                 ; 2 (2)       ; 2 (2)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 1 (1)             ; 1 (1)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cycloneIII_3c25_niosII_video_sopc_clock_3:the_cycloneIII_3c25_niosII_video_sopc_clock_3|altera_std_synchronizer:the_altera_std_synchronizer1                                                                                                                                                                                                                                                                                                                      ;              ;
;          |altera_std_synchronizer:the_altera_std_synchronizer2|                                                                                                                                                                                 ; 2 (2)       ; 2 (2)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 2 (2)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cycloneIII_3c25_niosII_video_sopc_clock_3:the_cycloneIII_3c25_niosII_video_sopc_clock_3|altera_std_synchronizer:the_altera_std_synchronizer2                                                                                                                                                                                                                                                                                                                      ;              ;
;          |altera_std_synchronizer:the_altera_std_synchronizer3|                                                                                                                                                                                 ; 2 (2)       ; 2 (2)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 2 (2)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cycloneIII_3c25_niosII_video_sopc_clock_3:the_cycloneIII_3c25_niosII_video_sopc_clock_3|altera_std_synchronizer:the_altera_std_synchronizer3                                                                                                                                                                                                                                                                                                                      ;              ;
;          |altera_std_synchronizer:the_altera_std_synchronizer|                                                                                                                                                                                  ; 2 (2)       ; 2 (2)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 2 (2)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cycloneIII_3c25_niosII_video_sopc_clock_3:the_cycloneIII_3c25_niosII_video_sopc_clock_3|altera_std_synchronizer:the_altera_std_synchronizer                                                                                                                                                                                                                                                                                                                       ;              ;
;          |cycloneIII_3c25_niosII_video_sopc_clock_3_edge_to_pulse:read_done_edge_to_pulse|                                                                                                                                                      ; 1 (1)       ; 1 (1)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 1 (1)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cycloneIII_3c25_niosII_video_sopc_clock_3:the_cycloneIII_3c25_niosII_video_sopc_clock_3|cycloneIII_3c25_niosII_video_sopc_clock_3_edge_to_pulse:read_done_edge_to_pulse                                                                                                                                                                                                                                                                                           ;              ;
;          |cycloneIII_3c25_niosII_video_sopc_clock_3_edge_to_pulse:read_request_edge_to_pulse|                                                                                                                                                   ; 2 (2)       ; 1 (1)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 2 (2)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cycloneIII_3c25_niosII_video_sopc_clock_3:the_cycloneIII_3c25_niosII_video_sopc_clock_3|cycloneIII_3c25_niosII_video_sopc_clock_3_edge_to_pulse:read_request_edge_to_pulse                                                                                                                                                                                                                                                                                        ;              ;
;          |cycloneIII_3c25_niosII_video_sopc_clock_3_edge_to_pulse:write_done_edge_to_pulse|                                                                                                                                                     ; 1 (1)       ; 1 (1)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 1 (1)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cycloneIII_3c25_niosII_video_sopc_clock_3:the_cycloneIII_3c25_niosII_video_sopc_clock_3|cycloneIII_3c25_niosII_video_sopc_clock_3_edge_to_pulse:write_done_edge_to_pulse                                                                                                                                                                                                                                                                                          ;              ;
;          |cycloneIII_3c25_niosII_video_sopc_clock_3_edge_to_pulse:write_request_edge_to_pulse|                                                                                                                                                  ; 1 (1)       ; 1 (1)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 1 (1)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cycloneIII_3c25_niosII_video_sopc_clock_3:the_cycloneIII_3c25_niosII_video_sopc_clock_3|cycloneIII_3c25_niosII_video_sopc_clock_3_edge_to_pulse:write_request_edge_to_pulse                                                                                                                                                                                                                                                                                       ;              ;
;          |cycloneIII_3c25_niosII_video_sopc_clock_3_master_FSM:master_FSM|                                                                                                                                                                      ; 12 (12)     ; 10 (10)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 12 (12)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cycloneIII_3c25_niosII_video_sopc_clock_3:the_cycloneIII_3c25_niosII_video_sopc_clock_3|cycloneIII_3c25_niosII_video_sopc_clock_3_master_FSM:master_FSM                                                                                                                                                                                                                                                                                                           ;              ;
;          |cycloneIII_3c25_niosII_video_sopc_clock_3_slave_FSM:slave_FSM|                                                                                                                                                                        ; 6 (6)       ; 4 (4)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 0 (0)             ; 5 (5)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cycloneIII_3c25_niosII_video_sopc_clock_3:the_cycloneIII_3c25_niosII_video_sopc_clock_3|cycloneIII_3c25_niosII_video_sopc_clock_3_slave_FSM:slave_FSM                                                                                                                                                                                                                                                                                                             ;              ;
;       |cycloneIII_3c25_niosII_video_sopc_clock_3_in_arbitrator:the_cycloneIII_3c25_niosII_video_sopc_clock_3_in|                                                                                                                                ; 2 (2)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 2 (2)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cycloneIII_3c25_niosII_video_sopc_clock_3_in_arbitrator:the_cycloneIII_3c25_niosII_video_sopc_clock_3_in                                                                                                                                                                                                                                                                                                                                                          ;              ;
;       |cycloneIII_3c25_niosII_video_sopc_clock_3_out_arbitrator:the_cycloneIII_3c25_niosII_video_sopc_clock_3_out|                                                                                                                              ; 4 (4)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 2 (2)        ; 0 (0)             ; 2 (2)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cycloneIII_3c25_niosII_video_sopc_clock_3_out_arbitrator:the_cycloneIII_3c25_niosII_video_sopc_clock_3_out                                                                                                                                                                                                                                                                                                                                                        ;              ;
;       |cycloneIII_3c25_niosII_video_sopc_reset_clk_domain_synch_module:cycloneIII_3c25_niosII_video_sopc_reset_clk_domain_synch|                                                                                                                ; 2 (2)       ; 2 (2)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 1 (1)             ; 1 (1)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cycloneIII_3c25_niosII_video_sopc_reset_clk_domain_synch_module:cycloneIII_3c25_niosII_video_sopc_reset_clk_domain_synch                                                                                                                                                                                                                                                                                                                                          ;              ;
;       |cycloneIII_3c25_niosII_video_sopc_reset_ddr_sdram_phy_clk_out_domain_synch_module:cycloneIII_3c25_niosII_video_sopc_reset_ddr_sdram_phy_clk_out_domain_synch|                                                                            ; 2 (2)       ; 2 (2)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 1 (1)             ; 1 (1)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cycloneIII_3c25_niosII_video_sopc_reset_ddr_sdram_phy_clk_out_domain_synch_module:cycloneIII_3c25_niosII_video_sopc_reset_ddr_sdram_phy_clk_out_domain_synch                                                                                                                                                                                                                                                                                                      ;              ;
;       |cycloneIII_3c25_niosII_video_sopc_reset_pll_c0_out_domain_synch_module:cycloneIII_3c25_niosII_video_sopc_reset_pll_c0_out_domain_synch|                                                                                                  ; 2 (2)       ; 2 (2)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 2 (2)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cycloneIII_3c25_niosII_video_sopc_reset_pll_c0_out_domain_synch_module:cycloneIII_3c25_niosII_video_sopc_reset_pll_c0_out_domain_synch                                                                                                                                                                                                                                                                                                                            ;              ;
;       |cycloneIII_3c25_niosII_video_sopc_reset_pll_c2_out_domain_synch_module:cycloneIII_3c25_niosII_video_sopc_reset_pll_c2_out_domain_synch|                                                                                                  ; 2 (2)       ; 2 (2)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 1 (1)             ; 1 (1)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cycloneIII_3c25_niosII_video_sopc_reset_pll_c2_out_domain_synch_module:cycloneIII_3c25_niosII_video_sopc_reset_pll_c2_out_domain_synch                                                                                                                                                                                                                                                                                                                            ;              ;
;       |cycloneIII_3c25_niosII_video_sopc_reset_pll_c3_out_domain_synch_module:cycloneIII_3c25_niosII_video_sopc_reset_pll_c3_out_domain_synch|                                                                                                  ; 2 (2)       ; 2 (2)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 1 (1)             ; 1 (1)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|cycloneIII_3c25_niosII_video_sopc_reset_pll_c3_out_domain_synch_module:cycloneIII_3c25_niosII_video_sopc_reset_pll_c3_out_domain_synch                                                                                                                                                                                                                                                                                                                            ;              ;
;       |ddr_sdram:the_ddr_sdram|                                                                                                                                                                                                                 ; 3230 (0)    ; 1874 (0)                  ; 0 (0)         ; 2880        ; 5    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1356 (0)     ; 533 (0)           ; 1341 (0)         ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram                                                                                                                                                                                                                                                                                                                                                                                                                                           ;              ;
;          |ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|                                                                                                                                                                               ; 3230 (0)    ; 1874 (0)                  ; 0 (0)         ; 2880        ; 5    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1356 (0)     ; 533 (0)           ; 1341 (0)         ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst                                                                                                                                                                                                                                                                                                                                                                                    ;              ;
;             |ddr_sdram_auk_ddr_hp_controller_wrapper:ddr_sdram_auk_ddr_hp_controller_wrapper_inst|                                                                                                                                              ; 788 (5)     ; 439 (1)                   ; 0 (0)         ; 2304        ; 2    ; 0            ; 0       ; 0         ; 0    ; 0            ; 348 (3)      ; 98 (0)            ; 342 (3)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_auk_ddr_hp_controller_wrapper:ddr_sdram_auk_ddr_hp_controller_wrapper_inst                                                                                                                                                                                                                                                                                               ;              ;
;                |auk_ddr_hp_controller:auk_ddr_hp_controller_inst|                                                                                                                                                                               ; 783 (434)   ; 438 (172)                 ; 0 (0)         ; 2304        ; 2    ; 0            ; 0       ; 0         ; 0    ; 0            ; 345 (262)    ; 98 (48)           ; 340 (124)        ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_auk_ddr_hp_controller_wrapper:ddr_sdram_auk_ddr_hp_controller_wrapper_inst|auk_ddr_hp_controller:auk_ddr_hp_controller_inst                                                                                                                                                                                                                                              ;              ;
;                   |auk_ddr_hp_avalon_if:\g_local_avalon_if:av_if|                                                                                                                                                                               ; 35 (0)      ; 25 (0)                    ; 0 (0)         ; 2304        ; 2    ; 0            ; 0       ; 0         ; 0    ; 0            ; 10 (0)       ; 0 (0)             ; 25 (0)           ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_auk_ddr_hp_controller_wrapper:ddr_sdram_auk_ddr_hp_controller_wrapper_inst|auk_ddr_hp_controller:auk_ddr_hp_controller_inst|auk_ddr_hp_avalon_if:\g_local_avalon_if:av_if                                                                                                                                                                                                ;              ;
;                      |scfifo:wfifo|                                                                                                                                                                                                             ; 35 (0)      ; 25 (0)                    ; 0 (0)         ; 2304        ; 2    ; 0            ; 0       ; 0         ; 0    ; 0            ; 10 (0)       ; 0 (0)             ; 25 (0)           ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_auk_ddr_hp_controller_wrapper:ddr_sdram_auk_ddr_hp_controller_wrapper_inst|auk_ddr_hp_controller:auk_ddr_hp_controller_inst|auk_ddr_hp_avalon_if:\g_local_avalon_if:av_if|scfifo:wfifo                                                                                                                                                                                   ;              ;
;                         |scfifo_jve1:auto_generated|                                                                                                                                                                                            ; 35 (0)      ; 25 (0)                    ; 0 (0)         ; 2304        ; 2    ; 0            ; 0       ; 0         ; 0    ; 0            ; 10 (0)       ; 0 (0)             ; 25 (0)           ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_auk_ddr_hp_controller_wrapper:ddr_sdram_auk_ddr_hp_controller_wrapper_inst|auk_ddr_hp_controller:auk_ddr_hp_controller_inst|auk_ddr_hp_avalon_if:\g_local_avalon_if:av_if|scfifo:wfifo|scfifo_jve1:auto_generated                                                                                                                                                        ;              ;
;                            |a_dpfifo_kg71:dpfifo|                                                                                                                                                                                               ; 35 (21)     ; 25 (11)                   ; 0 (0)         ; 2304        ; 2    ; 0            ; 0       ; 0         ; 0    ; 0            ; 10 (10)      ; 0 (0)             ; 25 (11)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_auk_ddr_hp_controller_wrapper:ddr_sdram_auk_ddr_hp_controller_wrapper_inst|auk_ddr_hp_controller:auk_ddr_hp_controller_inst|auk_ddr_hp_avalon_if:\g_local_avalon_if:av_if|scfifo:wfifo|scfifo_jve1:auto_generated|a_dpfifo_kg71:dpfifo                                                                                                                                   ;              ;
;                               |altsyncram_1ea1:FIFOram|                                                                                                                                                                                         ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 2304        ; 2    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_auk_ddr_hp_controller_wrapper:ddr_sdram_auk_ddr_hp_controller_wrapper_inst|auk_ddr_hp_controller:auk_ddr_hp_controller_inst|auk_ddr_hp_avalon_if:\g_local_avalon_if:av_if|scfifo:wfifo|scfifo_jve1:auto_generated|a_dpfifo_kg71:dpfifo|altsyncram_1ea1:FIFOram                                                                                                           ;              ;
;                               |cntr_3n7:usedw_counter|                                                                                                                                                                                          ; 5 (5)       ; 5 (5)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 5 (5)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_auk_ddr_hp_controller_wrapper:ddr_sdram_auk_ddr_hp_controller_wrapper_inst|auk_ddr_hp_controller:auk_ddr_hp_controller_inst|auk_ddr_hp_avalon_if:\g_local_avalon_if:av_if|scfifo:wfifo|scfifo_jve1:auto_generated|a_dpfifo_kg71:dpfifo|cntr_3n7:usedw_counter                                                                                                            ;              ;
;                               |cntr_mmb:rd_ptr_msb|                                                                                                                                                                                             ; 4 (4)       ; 4 (4)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 4 (4)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_auk_ddr_hp_controller_wrapper:ddr_sdram_auk_ddr_hp_controller_wrapper_inst|auk_ddr_hp_controller:auk_ddr_hp_controller_inst|auk_ddr_hp_avalon_if:\g_local_avalon_if:av_if|scfifo:wfifo|scfifo_jve1:auto_generated|a_dpfifo_kg71:dpfifo|cntr_mmb:rd_ptr_msb                                                                                                               ;              ;
;                               |cntr_nmb:wr_ptr|                                                                                                                                                                                                 ; 5 (5)       ; 5 (5)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 5 (5)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_auk_ddr_hp_controller_wrapper:ddr_sdram_auk_ddr_hp_controller_wrapper_inst|auk_ddr_hp_controller:auk_ddr_hp_controller_inst|auk_ddr_hp_avalon_if:\g_local_avalon_if:av_if|scfifo:wfifo|scfifo_jve1:auto_generated|a_dpfifo_kg71:dpfifo|cntr_nmb:wr_ptr                                                                                                                   ;              ;
;                   |auk_ddr_hp_bank_details:bank_man|                                                                                                                                                                                            ; 94 (94)     ; 58 (58)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 36 (36)      ; 5 (5)             ; 53 (53)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_auk_ddr_hp_controller_wrapper:ddr_sdram_auk_ddr_hp_controller_wrapper_inst|auk_ddr_hp_controller:auk_ddr_hp_controller_inst|auk_ddr_hp_bank_details:bank_man                                                                                                                                                                                                             ;              ;
;                   |auk_ddr_hp_input_buf:in_buf|                                                                                                                                                                                                 ; 204 (12)    ; 171 (15)                  ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 33 (2)       ; 41 (5)            ; 130 (8)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_auk_ddr_hp_controller_wrapper:ddr_sdram_auk_ddr_hp_controller_wrapper_inst|auk_ddr_hp_controller:auk_ddr_hp_controller_inst|auk_ddr_hp_input_buf:in_buf                                                                                                                                                                                                                  ;              ;
;                      |auk_ddr_hp_custom_fifo:my_fifo|                                                                                                                                                                                           ; 197 (197)   ; 156 (156)                 ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 31 (31)      ; 36 (36)           ; 130 (130)        ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_auk_ddr_hp_controller_wrapper:ddr_sdram_auk_ddr_hp_controller_wrapper_inst|auk_ddr_hp_controller:auk_ddr_hp_controller_inst|auk_ddr_hp_input_buf:in_buf|auk_ddr_hp_custom_fifo:my_fifo                                                                                                                                                                                   ;              ;
;                   |auk_ddr_hp_timers:\g_timers:0:bank_timer|                                                                                                                                                                                    ; 4 (4)       ; 3 (3)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 1 (1)             ; 2 (2)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_auk_ddr_hp_controller_wrapper:ddr_sdram_auk_ddr_hp_controller_wrapper_inst|auk_ddr_hp_controller:auk_ddr_hp_controller_inst|auk_ddr_hp_timers:\g_timers:0:bank_timer                                                                                                                                                                                                     ;              ;
;                   |auk_ddr_hp_timers:\g_timers:1:bank_timer|                                                                                                                                                                                    ; 4 (4)       ; 3 (3)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 1 (1)             ; 2 (2)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_auk_ddr_hp_controller_wrapper:ddr_sdram_auk_ddr_hp_controller_wrapper_inst|auk_ddr_hp_controller:auk_ddr_hp_controller_inst|auk_ddr_hp_timers:\g_timers:1:bank_timer                                                                                                                                                                                                     ;              ;
;                   |auk_ddr_hp_timers:\g_timers:2:bank_timer|                                                                                                                                                                                    ; 4 (4)       ; 3 (3)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 1 (1)             ; 2 (2)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_auk_ddr_hp_controller_wrapper:ddr_sdram_auk_ddr_hp_controller_wrapper_inst|auk_ddr_hp_controller:auk_ddr_hp_controller_inst|auk_ddr_hp_timers:\g_timers:2:bank_timer                                                                                                                                                                                                     ;              ;
;                   |auk_ddr_hp_timers:\g_timers:3:bank_timer|                                                                                                                                                                                    ; 4 (4)       ; 3 (3)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 1 (1)             ; 2 (2)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_auk_ddr_hp_controller_wrapper:ddr_sdram_auk_ddr_hp_controller_wrapper_inst|auk_ddr_hp_controller:auk_ddr_hp_controller_inst|auk_ddr_hp_timers:\g_timers:3:bank_timer                                                                                                                                                                                                     ;              ;
;             |ddr_sdram_phy:ddr_sdram_phy_inst|                                                                                                                                                                                                  ; 2446 (0)    ; 1435 (0)                  ; 0 (0)         ; 576         ; 3    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1008 (0)     ; 435 (0)           ; 1003 (0)         ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst                                                                                                                                                                                                                                                                                                                                                   ;              ;
;                |ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|                                                                                                                                                                       ; 2446 (0)    ; 1435 (0)                  ; 0 (0)         ; 576         ; 3    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1008 (0)     ; 435 (0)           ; 1003 (0)         ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst                                                                                                                                                                                                                                                                                          ;              ;
;                   |ddr_sdram_phy_alt_mem_phy_addr_cmd:half_rate_adc_gen.adc|                                                                                                                                                                    ; 199 (4)     ; 184 (4)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 12 (0)       ; 86 (2)            ; 101 (2)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_addr_cmd:half_rate_adc_gen.adc                                                                                                                                                                                                                                 ;              ;
;                      |ddr_sdram_phy_alt_mem_phy_ac:addr[0].addr_struct|                                                                                                                                                                         ; 9 (9)       ; 9 (9)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 3 (3)             ; 6 (6)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_addr_cmd:half_rate_adc_gen.adc|ddr_sdram_phy_alt_mem_phy_ac:addr[0].addr_struct                                                                                                                                                                                ;              ;
;                         |altddio_out:half_rate.addr_pin|                                                                                                                                                                                        ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_addr_cmd:half_rate_adc_gen.adc|ddr_sdram_phy_alt_mem_phy_ac:addr[0].addr_struct|altddio_out:half_rate.addr_pin                                                                                                                                                 ;              ;
;                            |ddio_out_egd:auto_generated|                                                                                                                                                                                        ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_addr_cmd:half_rate_adc_gen.adc|ddr_sdram_phy_alt_mem_phy_ac:addr[0].addr_struct|altddio_out:half_rate.addr_pin|ddio_out_egd:auto_generated                                                                                                                     ;              ;
;                      |ddr_sdram_phy_alt_mem_phy_ac:addr[10].addr_struct|                                                                                                                                                                        ; 10 (10)     ; 9 (9)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 4 (4)             ; 5 (5)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_addr_cmd:half_rate_adc_gen.adc|ddr_sdram_phy_alt_mem_phy_ac:addr[10].addr_struct                                                                                                                                                                               ;              ;
;                         |altddio_out:half_rate.addr_pin|                                                                                                                                                                                        ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_addr_cmd:half_rate_adc_gen.adc|ddr_sdram_phy_alt_mem_phy_ac:addr[10].addr_struct|altddio_out:half_rate.addr_pin                                                                                                                                                ;              ;
;                            |ddio_out_egd:auto_generated|                                                                                                                                                                                        ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_addr_cmd:half_rate_adc_gen.adc|ddr_sdram_phy_alt_mem_phy_ac:addr[10].addr_struct|altddio_out:half_rate.addr_pin|ddio_out_egd:auto_generated                                                                                                                    ;              ;
;                      |ddr_sdram_phy_alt_mem_phy_ac:addr[11].addr_struct|                                                                                                                                                                        ; 10 (10)     ; 9 (9)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 4 (4)             ; 6 (6)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_addr_cmd:half_rate_adc_gen.adc|ddr_sdram_phy_alt_mem_phy_ac:addr[11].addr_struct                                                                                                                                                                               ;              ;
;                         |altddio_out:half_rate.addr_pin|                                                                                                                                                                                        ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_addr_cmd:half_rate_adc_gen.adc|ddr_sdram_phy_alt_mem_phy_ac:addr[11].addr_struct|altddio_out:half_rate.addr_pin                                                                                                                                                ;              ;
;                            |ddio_out_egd:auto_generated|                                                                                                                                                                                        ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_addr_cmd:half_rate_adc_gen.adc|ddr_sdram_phy_alt_mem_phy_ac:addr[11].addr_struct|altddio_out:half_rate.addr_pin|ddio_out_egd:auto_generated                                                                                                                    ;              ;
;                      |ddr_sdram_phy_alt_mem_phy_ac:addr[12].addr_struct|                                                                                                                                                                        ; 9 (9)       ; 9 (9)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 3 (3)             ; 6 (6)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_addr_cmd:half_rate_adc_gen.adc|ddr_sdram_phy_alt_mem_phy_ac:addr[12].addr_struct                                                                                                                                                                               ;              ;
;                         |altddio_out:half_rate.addr_pin|                                                                                                                                                                                        ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_addr_cmd:half_rate_adc_gen.adc|ddr_sdram_phy_alt_mem_phy_ac:addr[12].addr_struct|altddio_out:half_rate.addr_pin                                                                                                                                                ;              ;
;                            |ddio_out_egd:auto_generated|                                                                                                                                                                                        ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_addr_cmd:half_rate_adc_gen.adc|ddr_sdram_phy_alt_mem_phy_ac:addr[12].addr_struct|altddio_out:half_rate.addr_pin|ddio_out_egd:auto_generated                                                                                                                    ;              ;
;                      |ddr_sdram_phy_alt_mem_phy_ac:addr[1].addr_struct|                                                                                                                                                                         ; 10 (10)     ; 9 (9)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 4 (4)             ; 5 (5)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_addr_cmd:half_rate_adc_gen.adc|ddr_sdram_phy_alt_mem_phy_ac:addr[1].addr_struct                                                                                                                                                                                ;              ;
;                         |altddio_out:half_rate.addr_pin|                                                                                                                                                                                        ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_addr_cmd:half_rate_adc_gen.adc|ddr_sdram_phy_alt_mem_phy_ac:addr[1].addr_struct|altddio_out:half_rate.addr_pin                                                                                                                                                 ;              ;
;                            |ddio_out_egd:auto_generated|                                                                                                                                                                                        ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_addr_cmd:half_rate_adc_gen.adc|ddr_sdram_phy_alt_mem_phy_ac:addr[1].addr_struct|altddio_out:half_rate.addr_pin|ddio_out_egd:auto_generated                                                                                                                     ;              ;
;                      |ddr_sdram_phy_alt_mem_phy_ac:addr[2].addr_struct|                                                                                                                                                                         ; 10 (10)     ; 9 (9)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 4 (4)             ; 5 (5)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_addr_cmd:half_rate_adc_gen.adc|ddr_sdram_phy_alt_mem_phy_ac:addr[2].addr_struct                                                                                                                                                                                ;              ;
;                         |altddio_out:half_rate.addr_pin|                                                                                                                                                                                        ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_addr_cmd:half_rate_adc_gen.adc|ddr_sdram_phy_alt_mem_phy_ac:addr[2].addr_struct|altddio_out:half_rate.addr_pin                                                                                                                                                 ;              ;
;                            |ddio_out_egd:auto_generated|                                                                                                                                                                                        ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_addr_cmd:half_rate_adc_gen.adc|ddr_sdram_phy_alt_mem_phy_ac:addr[2].addr_struct|altddio_out:half_rate.addr_pin|ddio_out_egd:auto_generated                                                                                                                     ;              ;
;                      |ddr_sdram_phy_alt_mem_phy_ac:addr[3].addr_struct|                                                                                                                                                                         ; 10 (10)     ; 9 (9)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 4 (4)             ; 5 (5)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_addr_cmd:half_rate_adc_gen.adc|ddr_sdram_phy_alt_mem_phy_ac:addr[3].addr_struct                                                                                                                                                                                ;              ;
;                         |altddio_out:half_rate.addr_pin|                                                                                                                                                                                        ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_addr_cmd:half_rate_adc_gen.adc|ddr_sdram_phy_alt_mem_phy_ac:addr[3].addr_struct|altddio_out:half_rate.addr_pin                                                                                                                                                 ;              ;
;                            |ddio_out_egd:auto_generated|                                                                                                                                                                                        ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_addr_cmd:half_rate_adc_gen.adc|ddr_sdram_phy_alt_mem_phy_ac:addr[3].addr_struct|altddio_out:half_rate.addr_pin|ddio_out_egd:auto_generated                                                                                                                     ;              ;
;                      |ddr_sdram_phy_alt_mem_phy_ac:addr[4].addr_struct|                                                                                                                                                                         ; 10 (10)     ; 9 (9)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 4 (4)             ; 5 (5)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_addr_cmd:half_rate_adc_gen.adc|ddr_sdram_phy_alt_mem_phy_ac:addr[4].addr_struct                                                                                                                                                                                ;              ;
;                         |altddio_out:half_rate.addr_pin|                                                                                                                                                                                        ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_addr_cmd:half_rate_adc_gen.adc|ddr_sdram_phy_alt_mem_phy_ac:addr[4].addr_struct|altddio_out:half_rate.addr_pin                                                                                                                                                 ;              ;
;                            |ddio_out_egd:auto_generated|                                                                                                                                                                                        ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_addr_cmd:half_rate_adc_gen.adc|ddr_sdram_phy_alt_mem_phy_ac:addr[4].addr_struct|altddio_out:half_rate.addr_pin|ddio_out_egd:auto_generated                                                                                                                     ;              ;
;                      |ddr_sdram_phy_alt_mem_phy_ac:addr[5].addr_struct|                                                                                                                                                                         ; 10 (10)     ; 9 (9)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 4 (4)             ; 5 (5)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_addr_cmd:half_rate_adc_gen.adc|ddr_sdram_phy_alt_mem_phy_ac:addr[5].addr_struct                                                                                                                                                                                ;              ;
;                         |altddio_out:half_rate.addr_pin|                                                                                                                                                                                        ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_addr_cmd:half_rate_adc_gen.adc|ddr_sdram_phy_alt_mem_phy_ac:addr[5].addr_struct|altddio_out:half_rate.addr_pin                                                                                                                                                 ;              ;
;                            |ddio_out_egd:auto_generated|                                                                                                                                                                                        ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_addr_cmd:half_rate_adc_gen.adc|ddr_sdram_phy_alt_mem_phy_ac:addr[5].addr_struct|altddio_out:half_rate.addr_pin|ddio_out_egd:auto_generated                                                                                                                     ;              ;
;                      |ddr_sdram_phy_alt_mem_phy_ac:addr[6].addr_struct|                                                                                                                                                                         ; 9 (9)       ; 9 (9)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 3 (3)             ; 6 (6)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_addr_cmd:half_rate_adc_gen.adc|ddr_sdram_phy_alt_mem_phy_ac:addr[6].addr_struct                                                                                                                                                                                ;              ;
;                         |altddio_out:half_rate.addr_pin|                                                                                                                                                                                        ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_addr_cmd:half_rate_adc_gen.adc|ddr_sdram_phy_alt_mem_phy_ac:addr[6].addr_struct|altddio_out:half_rate.addr_pin                                                                                                                                                 ;              ;
;                            |ddio_out_egd:auto_generated|                                                                                                                                                                                        ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_addr_cmd:half_rate_adc_gen.adc|ddr_sdram_phy_alt_mem_phy_ac:addr[6].addr_struct|altddio_out:half_rate.addr_pin|ddio_out_egd:auto_generated                                                                                                                     ;              ;
;                      |ddr_sdram_phy_alt_mem_phy_ac:addr[7].addr_struct|                                                                                                                                                                         ; 10 (10)     ; 9 (9)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 4 (4)             ; 6 (6)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_addr_cmd:half_rate_adc_gen.adc|ddr_sdram_phy_alt_mem_phy_ac:addr[7].addr_struct                                                                                                                                                                                ;              ;
;                         |altddio_out:half_rate.addr_pin|                                                                                                                                                                                        ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_addr_cmd:half_rate_adc_gen.adc|ddr_sdram_phy_alt_mem_phy_ac:addr[7].addr_struct|altddio_out:half_rate.addr_pin                                                                                                                                                 ;              ;
;                            |ddio_out_egd:auto_generated|                                                                                                                                                                                        ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_addr_cmd:half_rate_adc_gen.adc|ddr_sdram_phy_alt_mem_phy_ac:addr[7].addr_struct|altddio_out:half_rate.addr_pin|ddio_out_egd:auto_generated                                                                                                                     ;              ;
;                      |ddr_sdram_phy_alt_mem_phy_ac:addr[8].addr_struct|                                                                                                                                                                         ; 10 (10)     ; 9 (9)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 4 (4)             ; 5 (5)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_addr_cmd:half_rate_adc_gen.adc|ddr_sdram_phy_alt_mem_phy_ac:addr[8].addr_struct                                                                                                                                                                                ;              ;
;                         |altddio_out:half_rate.addr_pin|                                                                                                                                                                                        ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_addr_cmd:half_rate_adc_gen.adc|ddr_sdram_phy_alt_mem_phy_ac:addr[8].addr_struct|altddio_out:half_rate.addr_pin                                                                                                                                                 ;              ;
;                            |ddio_out_egd:auto_generated|                                                                                                                                                                                        ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_addr_cmd:half_rate_adc_gen.adc|ddr_sdram_phy_alt_mem_phy_ac:addr[8].addr_struct|altddio_out:half_rate.addr_pin|ddio_out_egd:auto_generated                                                                                                                     ;              ;
;                      |ddr_sdram_phy_alt_mem_phy_ac:addr[9].addr_struct|                                                                                                                                                                         ; 9 (9)       ; 9 (9)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 3 (3)             ; 6 (6)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_addr_cmd:half_rate_adc_gen.adc|ddr_sdram_phy_alt_mem_phy_ac:addr[9].addr_struct                                                                                                                                                                                ;              ;
;                         |altddio_out:half_rate.addr_pin|                                                                                                                                                                                        ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_addr_cmd:half_rate_adc_gen.adc|ddr_sdram_phy_alt_mem_phy_ac:addr[9].addr_struct|altddio_out:half_rate.addr_pin                                                                                                                                                 ;              ;
;                            |ddio_out_egd:auto_generated|                                                                                                                                                                                        ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_addr_cmd:half_rate_adc_gen.adc|ddr_sdram_phy_alt_mem_phy_ac:addr[9].addr_struct|altddio_out:half_rate.addr_pin|ddio_out_egd:auto_generated                                                                                                                     ;              ;
;                      |ddr_sdram_phy_alt_mem_phy_ac:ba[0].ba_struct|                                                                                                                                                                             ; 10 (10)     ; 9 (9)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 7 (7)             ; 3 (3)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_addr_cmd:half_rate_adc_gen.adc|ddr_sdram_phy_alt_mem_phy_ac:ba[0].ba_struct                                                                                                                                                                                    ;              ;
;                         |altddio_out:half_rate.addr_pin|                                                                                                                                                                                        ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_addr_cmd:half_rate_adc_gen.adc|ddr_sdram_phy_alt_mem_phy_ac:ba[0].ba_struct|altddio_out:half_rate.addr_pin                                                                                                                                                     ;              ;
;                            |ddio_out_1jd:auto_generated|                                                                                                                                                                                        ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_addr_cmd:half_rate_adc_gen.adc|ddr_sdram_phy_alt_mem_phy_ac:ba[0].ba_struct|altddio_out:half_rate.addr_pin|ddio_out_1jd:auto_generated                                                                                                                         ;              ;
;                      |ddr_sdram_phy_alt_mem_phy_ac:ba[1].ba_struct|                                                                                                                                                                             ; 11 (11)     ; 9 (9)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 2 (2)        ; 8 (8)             ; 1 (1)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_addr_cmd:half_rate_adc_gen.adc|ddr_sdram_phy_alt_mem_phy_ac:ba[1].ba_struct                                                                                                                                                                                    ;              ;
;                         |altddio_out:half_rate.addr_pin|                                                                                                                                                                                        ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_addr_cmd:half_rate_adc_gen.adc|ddr_sdram_phy_alt_mem_phy_ac:ba[1].ba_struct|altddio_out:half_rate.addr_pin                                                                                                                                                     ;              ;
;                            |ddio_out_1jd:auto_generated|                                                                                                                                                                                        ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_addr_cmd:half_rate_adc_gen.adc|ddr_sdram_phy_alt_mem_phy_ac:ba[1].ba_struct|altddio_out:half_rate.addr_pin|ddio_out_1jd:auto_generated                                                                                                                         ;              ;
;                      |ddr_sdram_phy_alt_mem_phy_ac:cas_n_struct|                                                                                                                                                                                ; 10 (10)     ; 9 (9)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 4 (4)             ; 5 (5)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_addr_cmd:half_rate_adc_gen.adc|ddr_sdram_phy_alt_mem_phy_ac:cas_n_struct                                                                                                                                                                                       ;              ;
;                         |altddio_out:half_rate.addr_pin|                                                                                                                                                                                        ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_addr_cmd:half_rate_adc_gen.adc|ddr_sdram_phy_alt_mem_phy_ac:cas_n_struct|altddio_out:half_rate.addr_pin                                                                                                                                                        ;              ;
;                            |ddio_out_egd:auto_generated|                                                                                                                                                                                        ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_addr_cmd:half_rate_adc_gen.adc|ddr_sdram_phy_alt_mem_phy_ac:cas_n_struct|altddio_out:half_rate.addr_pin|ddio_out_egd:auto_generated                                                                                                                            ;              ;
;                      |ddr_sdram_phy_alt_mem_phy_ac:cke[0].cke_struct|                                                                                                                                                                           ; 9 (9)       ; 9 (9)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 6 (6)             ; 3 (3)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_addr_cmd:half_rate_adc_gen.adc|ddr_sdram_phy_alt_mem_phy_ac:cke[0].cke_struct                                                                                                                                                                                  ;              ;
;                         |altddio_out:half_rate.addr_pin|                                                                                                                                                                                        ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_addr_cmd:half_rate_adc_gen.adc|ddr_sdram_phy_alt_mem_phy_ac:cke[0].cke_struct|altddio_out:half_rate.addr_pin                                                                                                                                                   ;              ;
;                            |ddio_out_1jd:auto_generated|                                                                                                                                                                                        ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_addr_cmd:half_rate_adc_gen.adc|ddr_sdram_phy_alt_mem_phy_ac:cke[0].cke_struct|altddio_out:half_rate.addr_pin|ddio_out_1jd:auto_generated                                                                                                                       ;              ;
;                      |ddr_sdram_phy_alt_mem_phy_ac:cs_n[0].cs_n_struct|                                                                                                                                                                         ; 9 (9)       ; 9 (9)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 3 (3)             ; 6 (6)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_addr_cmd:half_rate_adc_gen.adc|ddr_sdram_phy_alt_mem_phy_ac:cs_n[0].cs_n_struct                                                                                                                                                                                ;              ;
;                         |altddio_out:half_rate.addr_pin|                                                                                                                                                                                        ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_addr_cmd:half_rate_adc_gen.adc|ddr_sdram_phy_alt_mem_phy_ac:cs_n[0].cs_n_struct|altddio_out:half_rate.addr_pin                                                                                                                                                 ;              ;
;                            |ddio_out_egd:auto_generated|                                                                                                                                                                                        ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_addr_cmd:half_rate_adc_gen.adc|ddr_sdram_phy_alt_mem_phy_ac:cs_n[0].cs_n_struct|altddio_out:half_rate.addr_pin|ddio_out_egd:auto_generated                                                                                                                     ;              ;
;                      |ddr_sdram_phy_alt_mem_phy_ac:ras_n_struct|                                                                                                                                                                                ; 10 (10)     ; 9 (9)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 4 (4)             ; 5 (5)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_addr_cmd:half_rate_adc_gen.adc|ddr_sdram_phy_alt_mem_phy_ac:ras_n_struct                                                                                                                                                                                       ;              ;
;                         |altddio_out:half_rate.addr_pin|                                                                                                                                                                                        ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_addr_cmd:half_rate_adc_gen.adc|ddr_sdram_phy_alt_mem_phy_ac:ras_n_struct|altddio_out:half_rate.addr_pin                                                                                                                                                        ;              ;
;                            |ddio_out_egd:auto_generated|                                                                                                                                                                                        ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_addr_cmd:half_rate_adc_gen.adc|ddr_sdram_phy_alt_mem_phy_ac:ras_n_struct|altddio_out:half_rate.addr_pin|ddio_out_egd:auto_generated                                                                                                                            ;              ;
;                      |ddr_sdram_phy_alt_mem_phy_ac:we_n_struct|                                                                                                                                                                                 ; 10 (10)     ; 9 (9)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 4 (4)             ; 5 (5)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_addr_cmd:half_rate_adc_gen.adc|ddr_sdram_phy_alt_mem_phy_ac:we_n_struct                                                                                                                                                                                        ;              ;
;                         |altddio_out:half_rate.addr_pin|                                                                                                                                                                                        ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_addr_cmd:half_rate_adc_gen.adc|ddr_sdram_phy_alt_mem_phy_ac:we_n_struct|altddio_out:half_rate.addr_pin                                                                                                                                                         ;              ;
;                            |ddio_out_egd:auto_generated|                                                                                                                                                                                        ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_addr_cmd:half_rate_adc_gen.adc|ddr_sdram_phy_alt_mem_phy_ac:we_n_struct|altddio_out:half_rate.addr_pin|ddio_out_egd:auto_generated                                                                                                                             ;              ;
;                   |ddr_sdram_phy_alt_mem_phy_clk_reset:clk|                                                                                                                                                                                     ; 59 (37)     ; 47 (31)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 12 (6)       ; 28 (18)           ; 19 (13)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_clk_reset:clk                                                                                                                                                                                                                                                  ;              ;
;                      |altddio_bidir:DDR_CLK_OUT[0].ddr_clk_out_n|                                                                                                                                                                               ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_clk_reset:clk|altddio_bidir:DDR_CLK_OUT[0].ddr_clk_out_n                                                                                                                                                                                                       ;              ;
;                         |ddio_bidir_idf:auto_generated|                                                                                                                                                                                         ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_clk_reset:clk|altddio_bidir:DDR_CLK_OUT[0].ddr_clk_out_n|ddio_bidir_idf:auto_generated                                                                                                                                                                         ;              ;
;                      |altddio_bidir:DDR_CLK_OUT[0].ddr_clk_out_p|                                                                                                                                                                               ; 1 (0)       ; 1 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 1 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_clk_reset:clk|altddio_bidir:DDR_CLK_OUT[0].ddr_clk_out_p                                                                                                                                                                                                       ;              ;
;                         |ddio_bidir_e4h:auto_generated|                                                                                                                                                                                         ; 1 (1)       ; 1 (1)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 1 (1)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_clk_reset:clk|altddio_bidir:DDR_CLK_OUT[0].ddr_clk_out_p|ddio_bidir_e4h:auto_generated                                                                                                                                                                         ;              ;
;                      |ddr_sdram_phy_alt_mem_phy_pll:pll|                                                                                                                                                                                        ; 7 (0)       ; 1 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 6 (0)        ; 0 (0)             ; 1 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_clk_reset:clk|ddr_sdram_phy_alt_mem_phy_pll:pll                                                                                                                                                                                                                ;              ;
;                         |altpll:altpll_component|                                                                                                                                                                                               ; 7 (0)       ; 1 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 6 (0)        ; 0 (0)             ; 1 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_clk_reset:clk|ddr_sdram_phy_alt_mem_phy_pll:pll|altpll:altpll_component                                                                                                                                                                                        ;              ;
;                            |altpll_ruk3:auto_generated|                                                                                                                                                                                         ; 7 (4)       ; 1 (1)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 6 (3)        ; 0 (0)             ; 1 (1)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_clk_reset:clk|ddr_sdram_phy_alt_mem_phy_pll:pll|altpll:altpll_component|altpll_ruk3:auto_generated                                                                                                                                                             ;              ;
;                               |altpll_dyn_phase_le_rfo:altpll_dyn_phase_le2|                                                                                                                                                                    ; 1 (1)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_clk_reset:clk|ddr_sdram_phy_alt_mem_phy_pll:pll|altpll:altpll_component|altpll_ruk3:auto_generated|altpll_dyn_phase_le_rfo:altpll_dyn_phase_le2                                                                                                                ;              ;
;                               |altpll_dyn_phase_le_sfo:altpll_dyn_phase_le4|                                                                                                                                                                    ; 1 (1)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_clk_reset:clk|ddr_sdram_phy_alt_mem_phy_pll:pll|altpll:altpll_component|altpll_ruk3:auto_generated|altpll_dyn_phase_le_sfo:altpll_dyn_phase_le4                                                                                                                ;              ;
;                               |altpll_dyn_phase_le_tfo:altpll_dyn_phase_le5|                                                                                                                                                                    ; 1 (1)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_clk_reset:clk|ddr_sdram_phy_alt_mem_phy_pll:pll|altpll:altpll_component|altpll_ruk3:auto_generated|altpll_dyn_phase_le_tfo:altpll_dyn_phase_le5                                                                                                                ;              ;
;                      |ddr_sdram_phy_alt_mem_phy_reset_pipe:ac_clk_pipe_2x|                                                                                                                                                                      ; 2 (2)       ; 2 (2)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 1 (1)             ; 1 (1)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_clk_reset:clk|ddr_sdram_phy_alt_mem_phy_reset_pipe:ac_clk_pipe_2x                                                                                                                                                                                              ;              ;
;                      |ddr_sdram_phy_alt_mem_phy_reset_pipe:measure_clk_pipe|                                                                                                                                                                    ; 2 (2)       ; 2 (2)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 1 (1)             ; 1 (1)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_clk_reset:clk|ddr_sdram_phy_alt_mem_phy_reset_pipe:measure_clk_pipe                                                                                                                                                                                            ;              ;
;                      |ddr_sdram_phy_alt_mem_phy_reset_pipe:mem_clk_pipe|                                                                                                                                                                        ; 4 (4)       ; 4 (4)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 3 (3)             ; 1 (1)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_clk_reset:clk|ddr_sdram_phy_alt_mem_phy_reset_pipe:mem_clk_pipe                                                                                                                                                                                                ;              ;
;                      |ddr_sdram_phy_alt_mem_phy_reset_pipe:reset_rdp_phy_clk_pipe|                                                                                                                                                              ; 2 (2)       ; 2 (2)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 1 (1)             ; 1 (1)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_clk_reset:clk|ddr_sdram_phy_alt_mem_phy_reset_pipe:reset_rdp_phy_clk_pipe                                                                                                                                                                                      ;              ;
;                      |ddr_sdram_phy_alt_mem_phy_reset_pipe:resync_clk_pipe|                                                                                                                                                                     ; 2 (2)       ; 2 (2)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 1 (1)             ; 1 (1)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_clk_reset:clk|ddr_sdram_phy_alt_mem_phy_reset_pipe:resync_clk_pipe                                                                                                                                                                                             ;              ;
;                      |ddr_sdram_phy_alt_mem_phy_reset_pipe:write_clk_pipe|                                                                                                                                                                      ; 2 (2)       ; 2 (2)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 2 (2)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_clk_reset:clk|ddr_sdram_phy_alt_mem_phy_reset_pipe:write_clk_pipe                                                                                                                                                                                              ;              ;
;                   |ddr_sdram_phy_alt_mem_phy_dp_io:dpio|                                                                                                                                                                                        ; 112 (64)    ; 112 (64)                  ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 112 (64)          ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_dp_io:dpio                                                                                                                                                                                                                                                     ;              ;
;                      |altddio_in:dqs_group[0].dq[0].dqi|                                                                                                                                                                                        ; 3 (0)       ; 3 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 3 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_dp_io:dpio|altddio_in:dqs_group[0].dq[0].dqi                                                                                                                                                                                                                   ;              ;
;                         |ddio_in_0fd:auto_generated|                                                                                                                                                                                            ; 3 (3)       ; 3 (3)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 3 (3)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_dp_io:dpio|altddio_in:dqs_group[0].dq[0].dqi|ddio_in_0fd:auto_generated                                                                                                                                                                                        ;              ;
;                      |altddio_in:dqs_group[0].dq[1].dqi|                                                                                                                                                                                        ; 3 (0)       ; 3 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 3 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_dp_io:dpio|altddio_in:dqs_group[0].dq[1].dqi                                                                                                                                                                                                                   ;              ;
;                         |ddio_in_0fd:auto_generated|                                                                                                                                                                                            ; 3 (3)       ; 3 (3)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 3 (3)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_dp_io:dpio|altddio_in:dqs_group[0].dq[1].dqi|ddio_in_0fd:auto_generated                                                                                                                                                                                        ;              ;
;                      |altddio_in:dqs_group[0].dq[2].dqi|                                                                                                                                                                                        ; 3 (0)       ; 3 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 3 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_dp_io:dpio|altddio_in:dqs_group[0].dq[2].dqi                                                                                                                                                                                                                   ;              ;
;                         |ddio_in_0fd:auto_generated|                                                                                                                                                                                            ; 3 (3)       ; 3 (3)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 3 (3)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_dp_io:dpio|altddio_in:dqs_group[0].dq[2].dqi|ddio_in_0fd:auto_generated                                                                                                                                                                                        ;              ;
;                      |altddio_in:dqs_group[0].dq[3].dqi|                                                                                                                                                                                        ; 3 (0)       ; 3 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 3 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_dp_io:dpio|altddio_in:dqs_group[0].dq[3].dqi                                                                                                                                                                                                                   ;              ;
;                         |ddio_in_0fd:auto_generated|                                                                                                                                                                                            ; 3 (3)       ; 3 (3)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 3 (3)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_dp_io:dpio|altddio_in:dqs_group[0].dq[3].dqi|ddio_in_0fd:auto_generated                                                                                                                                                                                        ;              ;
;                      |altddio_in:dqs_group[0].dq[4].dqi|                                                                                                                                                                                        ; 3 (0)       ; 3 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 3 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_dp_io:dpio|altddio_in:dqs_group[0].dq[4].dqi                                                                                                                                                                                                                   ;              ;
;                         |ddio_in_0fd:auto_generated|                                                                                                                                                                                            ; 3 (3)       ; 3 (3)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 3 (3)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_dp_io:dpio|altddio_in:dqs_group[0].dq[4].dqi|ddio_in_0fd:auto_generated                                                                                                                                                                                        ;              ;
;                      |altddio_in:dqs_group[0].dq[5].dqi|                                                                                                                                                                                        ; 3 (0)       ; 3 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 3 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_dp_io:dpio|altddio_in:dqs_group[0].dq[5].dqi                                                                                                                                                                                                                   ;              ;
;                         |ddio_in_0fd:auto_generated|                                                                                                                                                                                            ; 3 (3)       ; 3 (3)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 3 (3)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_dp_io:dpio|altddio_in:dqs_group[0].dq[5].dqi|ddio_in_0fd:auto_generated                                                                                                                                                                                        ;              ;
;                      |altddio_in:dqs_group[0].dq[6].dqi|                                                                                                                                                                                        ; 3 (0)       ; 3 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 3 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_dp_io:dpio|altddio_in:dqs_group[0].dq[6].dqi                                                                                                                                                                                                                   ;              ;
;                         |ddio_in_0fd:auto_generated|                                                                                                                                                                                            ; 3 (3)       ; 3 (3)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 3 (3)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_dp_io:dpio|altddio_in:dqs_group[0].dq[6].dqi|ddio_in_0fd:auto_generated                                                                                                                                                                                        ;              ;
;                      |altddio_in:dqs_group[0].dq[7].dqi|                                                                                                                                                                                        ; 3 (0)       ; 3 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 3 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_dp_io:dpio|altddio_in:dqs_group[0].dq[7].dqi                                                                                                                                                                                                                   ;              ;
;                         |ddio_in_0fd:auto_generated|                                                                                                                                                                                            ; 3 (3)       ; 3 (3)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 3 (3)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_dp_io:dpio|altddio_in:dqs_group[0].dq[7].dqi|ddio_in_0fd:auto_generated                                                                                                                                                                                        ;              ;
;                      |altddio_in:dqs_group[1].dq[0].dqi|                                                                                                                                                                                        ; 3 (0)       ; 3 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 3 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_dp_io:dpio|altddio_in:dqs_group[1].dq[0].dqi                                                                                                                                                                                                                   ;              ;
;                         |ddio_in_0fd:auto_generated|                                                                                                                                                                                            ; 3 (3)       ; 3 (3)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 3 (3)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_dp_io:dpio|altddio_in:dqs_group[1].dq[0].dqi|ddio_in_0fd:auto_generated                                                                                                                                                                                        ;              ;
;                      |altddio_in:dqs_group[1].dq[1].dqi|                                                                                                                                                                                        ; 3 (0)       ; 3 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 3 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_dp_io:dpio|altddio_in:dqs_group[1].dq[1].dqi                                                                                                                                                                                                                   ;              ;
;                         |ddio_in_0fd:auto_generated|                                                                                                                                                                                            ; 3 (3)       ; 3 (3)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 3 (3)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_dp_io:dpio|altddio_in:dqs_group[1].dq[1].dqi|ddio_in_0fd:auto_generated                                                                                                                                                                                        ;              ;
;                      |altddio_in:dqs_group[1].dq[2].dqi|                                                                                                                                                                                        ; 3 (0)       ; 3 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 3 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_dp_io:dpio|altddio_in:dqs_group[1].dq[2].dqi                                                                                                                                                                                                                   ;              ;
;                         |ddio_in_0fd:auto_generated|                                                                                                                                                                                            ; 3 (3)       ; 3 (3)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 3 (3)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_dp_io:dpio|altddio_in:dqs_group[1].dq[2].dqi|ddio_in_0fd:auto_generated                                                                                                                                                                                        ;              ;
;                      |altddio_in:dqs_group[1].dq[3].dqi|                                                                                                                                                                                        ; 3 (0)       ; 3 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 3 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_dp_io:dpio|altddio_in:dqs_group[1].dq[3].dqi                                                                                                                                                                                                                   ;              ;
;                         |ddio_in_0fd:auto_generated|                                                                                                                                                                                            ; 3 (3)       ; 3 (3)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 3 (3)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_dp_io:dpio|altddio_in:dqs_group[1].dq[3].dqi|ddio_in_0fd:auto_generated                                                                                                                                                                                        ;              ;
;                      |altddio_in:dqs_group[1].dq[4].dqi|                                                                                                                                                                                        ; 3 (0)       ; 3 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 3 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_dp_io:dpio|altddio_in:dqs_group[1].dq[4].dqi                                                                                                                                                                                                                   ;              ;
;                         |ddio_in_0fd:auto_generated|                                                                                                                                                                                            ; 3 (3)       ; 3 (3)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 3 (3)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_dp_io:dpio|altddio_in:dqs_group[1].dq[4].dqi|ddio_in_0fd:auto_generated                                                                                                                                                                                        ;              ;
;                      |altddio_in:dqs_group[1].dq[5].dqi|                                                                                                                                                                                        ; 3 (0)       ; 3 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 3 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_dp_io:dpio|altddio_in:dqs_group[1].dq[5].dqi                                                                                                                                                                                                                   ;              ;
;                         |ddio_in_0fd:auto_generated|                                                                                                                                                                                            ; 3 (3)       ; 3 (3)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 3 (3)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_dp_io:dpio|altddio_in:dqs_group[1].dq[5].dqi|ddio_in_0fd:auto_generated                                                                                                                                                                                        ;              ;
;                      |altddio_in:dqs_group[1].dq[6].dqi|                                                                                                                                                                                        ; 3 (0)       ; 3 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 3 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_dp_io:dpio|altddio_in:dqs_group[1].dq[6].dqi                                                                                                                                                                                                                   ;              ;
;                         |ddio_in_0fd:auto_generated|                                                                                                                                                                                            ; 3 (3)       ; 3 (3)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 3 (3)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_dp_io:dpio|altddio_in:dqs_group[1].dq[6].dqi|ddio_in_0fd:auto_generated                                                                                                                                                                                        ;              ;
;                      |altddio_in:dqs_group[1].dq[7].dqi|                                                                                                                                                                                        ; 3 (0)       ; 3 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 3 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_dp_io:dpio|altddio_in:dqs_group[1].dq[7].dqi                                                                                                                                                                                                                   ;              ;
;                         |ddio_in_0fd:auto_generated|                                                                                                                                                                                            ; 3 (3)       ; 3 (3)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 3 (3)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_dp_io:dpio|altddio_in:dqs_group[1].dq[7].dqi|ddio_in_0fd:auto_generated                                                                                                                                                                                        ;              ;
;                   |ddr_sdram_phy_alt_mem_phy_mimic:mmc|                                                                                                                                                                                         ; 33 (33)     ; 24 (24)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 9 (9)        ; 5 (5)             ; 19 (19)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_mimic:mmc                                                                                                                                                                                                                                                      ;              ;
;                   |ddr_sdram_phy_alt_mem_phy_rdata_valid:rdv_pipe|                                                                                                                                                                              ; 25 (25)     ; 16 (16)                   ; 0 (0)         ; 64          ; 1    ; 0            ; 0       ; 0         ; 0    ; 0            ; 9 (9)        ; 3 (3)             ; 13 (13)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_rdata_valid:rdv_pipe                                                                                                                                                                                                                                           ;              ;
;                      |altsyncram:altsyncram_component|                                                                                                                                                                                          ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 64          ; 1    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_rdata_valid:rdv_pipe|altsyncram:altsyncram_component                                                                                                                                                                                                           ;              ;
;                         |altsyncram_4ni1:auto_generated|                                                                                                                                                                                        ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 64          ; 1    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_rdata_valid:rdv_pipe|altsyncram:altsyncram_component|altsyncram_4ni1:auto_generated                                                                                                                                                                            ;              ;
;                   |ddr_sdram_phy_alt_mem_phy_read_dp:rdp|                                                                                                                                                                                       ; 10 (10)     ; 8 (8)                     ; 0 (0)         ; 512         ; 2    ; 0            ; 0       ; 0         ; 0    ; 0            ; 2 (2)        ; 0 (0)             ; 8 (8)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_read_dp:rdp                                                                                                                                                                                                                                                    ;              ;
;                      |altsyncram:half_rate_ram_gen.altsyncram_component|                                                                                                                                                                        ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 512         ; 2    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_read_dp:rdp|altsyncram:half_rate_ram_gen.altsyncram_component                                                                                                                                                                                                  ;              ;
;                         |altsyncram_7ch1:auto_generated|                                                                                                                                                                                        ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 512         ; 2    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_read_dp:rdp|altsyncram:half_rate_ram_gen.altsyncram_component|altsyncram_7ch1:auto_generated                                                                                                                                                                   ;              ;
;                   |ddr_sdram_phy_alt_mem_phy_seq_wrapper:seq_wrapper|                                                                                                                                                                           ; 1763 (0)    ; 802 (0)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 961 (0)      ; 80 (0)            ; 722 (0)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_seq_wrapper:seq_wrapper                                                                                                                                                                                                                                        ;              ;
;                      |ddr_sdram_phy_alt_mem_phy_seq:seq_inst|                                                                                                                                                                                   ; 1763 (60)   ; 802 (47)                  ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 961 (13)     ; 80 (9)            ; 722 (35)         ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr_sdram_phy_alt_mem_phy_seq:seq_inst                                                                                                                                                                                                 ;              ;
;                         |ddr_sdram_phy_alt_mem_phy_admin:admin|                                                                                                                                                                                 ; 331 (331)   ; 91 (91)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 239 (239)    ; 6 (6)             ; 86 (86)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr_sdram_phy_alt_mem_phy_seq:seq_inst|ddr_sdram_phy_alt_mem_phy_admin:admin                                                                                                                                                           ;              ;
;                         |ddr_sdram_phy_alt_mem_phy_ctrl:ctrl|                                                                                                                                                                                   ; 264 (264)   ; 137 (137)                 ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 113 (113)    ; 15 (15)           ; 136 (136)        ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr_sdram_phy_alt_mem_phy_seq:seq_inst|ddr_sdram_phy_alt_mem_phy_ctrl:ctrl                                                                                                                                                             ;              ;
;                         |ddr_sdram_phy_alt_mem_phy_dgrb:dgrb|                                                                                                                                                                                   ; 995 (995)   ; 456 (456)                 ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 539 (539)    ; 46 (46)           ; 410 (410)        ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr_sdram_phy_alt_mem_phy_seq:seq_inst|ddr_sdram_phy_alt_mem_phy_dgrb:dgrb                                                                                                                                                             ;              ;
;                         |ddr_sdram_phy_alt_mem_phy_dgwb:dgwb|                                                                                                                                                                                   ; 129 (129)   ; 71 (71)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 57 (57)      ; 4 (4)             ; 68 (68)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_seq_wrapper:seq_wrapper|ddr_sdram_phy_alt_mem_phy_seq:seq_inst|ddr_sdram_phy_alt_mem_phy_dgwb:dgwb                                                                                                                                                             ;              ;
;                   |ddr_sdram_phy_alt_mem_phy_write_dp:half_rate_wdp_gen.wdp|                                                                                                                                                                    ; 245 (245)   ; 242 (242)                 ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 3 (3)        ; 121 (121)         ; 121 (121)        ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram:the_ddr_sdram|ddr_sdram_controller_phy:ddr_sdram_controller_phy_inst|ddr_sdram_phy:ddr_sdram_phy_inst|ddr_sdram_phy_alt_mem_phy:ddr_sdram_phy_alt_mem_phy_inst|ddr_sdram_phy_alt_mem_phy_write_dp:half_rate_wdp_gen.wdp                                                                                                                                                                                                                                 ;              ;
;       |ddr_sdram_s1_arbitrator:the_ddr_sdram_s1|                                                                                                                                                                                                ; 718 (358)   ; 250 (34)                  ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 373 (229)    ; 8 (4)             ; 337 (125)        ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram_s1_arbitrator:the_ddr_sdram_s1                                                                                                                                                                                                                                                                                                                                                                                                                          ;              ;
;          |rdv_fifo_for_cpu_ddr_clock_bridge_m1_to_ddr_sdram_s1_module:rdv_fifo_for_cpu_ddr_clock_bridge_m1_to_ddr_sdram_s1|                                                                                                                     ; 106 (106)   ; 78 (78)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 28 (28)      ; 1 (1)             ; 77 (77)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram_s1_arbitrator:the_ddr_sdram_s1|rdv_fifo_for_cpu_ddr_clock_bridge_m1_to_ddr_sdram_s1_module:rdv_fifo_for_cpu_ddr_clock_bridge_m1_to_ddr_sdram_s1                                                                                                                                                                                                                                                                                                         ;              ;
;          |rdv_fifo_for_cycloneIII_3c25_niosII_video_sopc_clock_1_out_to_ddr_sdram_s1_module:rdv_fifo_for_cycloneIII_3c25_niosII_video_sopc_clock_1_out_to_ddr_sdram_s1|                                                                         ; 108 (108)   ; 46 (46)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 62 (62)      ; 1 (1)             ; 45 (45)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram_s1_arbitrator:the_ddr_sdram_s1|rdv_fifo_for_cycloneIII_3c25_niosII_video_sopc_clock_1_out_to_ddr_sdram_s1_module:rdv_fifo_for_cycloneIII_3c25_niosII_video_sopc_clock_1_out_to_ddr_sdram_s1                                                                                                                                                                                                                                                             ;              ;
;          |rdv_fifo_for_lcd_sgdma_descriptor_read_to_ddr_sdram_s1_module:rdv_fifo_for_lcd_sgdma_descriptor_read_to_ddr_sdram_s1|                                                                                                                 ; 73 (73)     ; 46 (46)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 27 (27)      ; 1 (1)             ; 45 (45)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram_s1_arbitrator:the_ddr_sdram_s1|rdv_fifo_for_lcd_sgdma_descriptor_read_to_ddr_sdram_s1_module:rdv_fifo_for_lcd_sgdma_descriptor_read_to_ddr_sdram_s1                                                                                                                                                                                                                                                                                                     ;              ;
;          |rdv_fifo_for_lcd_sgdma_m_read_to_ddr_sdram_s1_module:rdv_fifo_for_lcd_sgdma_m_read_to_ddr_sdram_s1|                                                                                                                                   ; 73 (73)     ; 46 (46)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 27 (27)      ; 1 (1)             ; 45 (45)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|ddr_sdram_s1_arbitrator:the_ddr_sdram_s1|rdv_fifo_for_lcd_sgdma_m_read_to_ddr_sdram_s1_module:rdv_fifo_for_lcd_sgdma_m_read_to_ddr_sdram_s1                                                                                                                                                                                                                                                                                                                       ;              ;
;       |flash_ssram_pipeline_bridge:the_flash_ssram_pipeline_bridge|                                                                                                                                                                             ; 175 (0)     ; 159 (0)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 16 (0)       ; 83 (0)            ; 76 (0)           ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|flash_ssram_pipeline_bridge:the_flash_ssram_pipeline_bridge                                                                                                                                                                                                                                                                                                                                                                                                       ;              ;
;          |flash_ssram_pipeline_bridge_downstream_adapter:the_flash_ssram_pipeline_bridge_downstream_adapter|                                                                                                                                    ; 84 (84)     ; 62 (62)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 15 (15)      ; 22 (22)           ; 47 (47)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|flash_ssram_pipeline_bridge:the_flash_ssram_pipeline_bridge|flash_ssram_pipeline_bridge_downstream_adapter:the_flash_ssram_pipeline_bridge_downstream_adapter                                                                                                                                                                                                                                                                                                     ;              ;
;          |flash_ssram_pipeline_bridge_upstream_adapter:the_flash_ssram_pipeline_bridge_upstream_adapter|                                                                                                                                        ; 33 (33)     ; 33 (33)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 13 (13)           ; 20 (20)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|flash_ssram_pipeline_bridge:the_flash_ssram_pipeline_bridge|flash_ssram_pipeline_bridge_upstream_adapter:the_flash_ssram_pipeline_bridge_upstream_adapter                                                                                                                                                                                                                                                                                                         ;              ;
;          |flash_ssram_pipeline_bridge_waitrequest_adapter:the_flash_ssram_pipeline_bridge_waitrequest_adapter|                                                                                                                                  ; 104 (104)   ; 64 (64)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 48 (48)           ; 55 (55)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|flash_ssram_pipeline_bridge:the_flash_ssram_pipeline_bridge|flash_ssram_pipeline_bridge_waitrequest_adapter:the_flash_ssram_pipeline_bridge_waitrequest_adapter                                                                                                                                                                                                                                                                                                   ;              ;
;       |flash_ssram_pipeline_bridge_m1_arbitrator:the_flash_ssram_pipeline_bridge_m1|                                                                                                                                                            ; 1 (1)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|flash_ssram_pipeline_bridge_m1_arbitrator:the_flash_ssram_pipeline_bridge_m1                                                                                                                                                                                                                                                                                                                                                                                      ;              ;
;       |flash_ssram_pipeline_bridge_s1_arbitrator:the_flash_ssram_pipeline_bridge_s1|                                                                                                                                                            ; 110 (21)    ; 44 (2)                    ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 61 (14)      ; 2 (0)             ; 47 (7)           ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|flash_ssram_pipeline_bridge_s1_arbitrator:the_flash_ssram_pipeline_bridge_s1                                                                                                                                                                                                                                                                                                                                                                                      ;              ;
;          |rdv_fifo_for_cpu_data_master_to_flash_ssram_pipeline_bridge_s1_module:rdv_fifo_for_cpu_data_master_to_flash_ssram_pipeline_bridge_s1|                                                                                                 ; 54 (54)     ; 26 (26)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 28 (28)      ; 1 (1)             ; 25 (25)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|flash_ssram_pipeline_bridge_s1_arbitrator:the_flash_ssram_pipeline_bridge_s1|rdv_fifo_for_cpu_data_master_to_flash_ssram_pipeline_bridge_s1_module:rdv_fifo_for_cpu_data_master_to_flash_ssram_pipeline_bridge_s1                                                                                                                                                                                                                                                 ;              ;
;          |rdv_fifo_for_cpu_instruction_master_to_flash_ssram_pipeline_bridge_s1_module:rdv_fifo_for_cpu_instruction_master_to_flash_ssram_pipeline_bridge_s1|                                                                                   ; 35 (35)     ; 16 (16)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 19 (19)      ; 1 (1)             ; 15 (15)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|flash_ssram_pipeline_bridge_s1_arbitrator:the_flash_ssram_pipeline_bridge_s1|rdv_fifo_for_cpu_instruction_master_to_flash_ssram_pipeline_bridge_s1_module:rdv_fifo_for_cpu_instruction_master_to_flash_ssram_pipeline_bridge_s1                                                                                                                                                                                                                                   ;              ;
;       |flash_ssram_tristate_bridge_avalon_slave_arbitrator:the_flash_ssram_tristate_bridge_avalon_slave|                                                                                                                                        ; 76 (76)     ; 11 (11)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 65 (65)      ; 4 (4)             ; 7 (7)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|flash_ssram_tristate_bridge_avalon_slave_arbitrator:the_flash_ssram_tristate_bridge_avalon_slave                                                                                                                                                                                                                                                                                                                                                                  ;              ;
;       |high_res_timer:the_high_res_timer|                                                                                                                                                                                                       ; 143 (143)   ; 120 (120)                 ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 22 (22)      ; 26 (26)           ; 95 (95)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|high_res_timer:the_high_res_timer                                                                                                                                                                                                                                                                                                                                                                                                                                 ;              ;
;       |high_res_timer_s1_arbitrator:the_high_res_timer_s1|                                                                                                                                                                                      ; 5 (5)       ; 1 (1)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 4 (4)        ; 1 (1)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|high_res_timer_s1_arbitrator:the_high_res_timer_s1                                                                                                                                                                                                                                                                                                                                                                                                                ;              ;
;       |jtag_uart:the_jtag_uart|                                                                                                                                                                                                                 ; 297 (32)    ; 224 (13)                  ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 72 (18)      ; 110 (4)           ; 115 (9)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|jtag_uart:the_jtag_uart                                                                                                                                                                                                                                                                                                                                                                                                                                           ;              ;
;          |alt_jtag_atlantic:jtag_uart_alt_jtag_atlantic|                                                                                                                                                                                        ; 84 (84)     ; 55 (55)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 28 (28)      ; 28 (28)           ; 28 (28)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|jtag_uart:the_jtag_uart|alt_jtag_atlantic:jtag_uart_alt_jtag_atlantic                                                                                                                                                                                                                                                                                                                                                                                             ;              ;
;          |jtag_uart_scfifo_r:the_jtag_uart_scfifo_r|                                                                                                                                                                                            ; 90 (0)      ; 78 (0)                    ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 12 (0)       ; 38 (0)            ; 40 (0)           ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|jtag_uart:the_jtag_uart|jtag_uart_scfifo_r:the_jtag_uart_scfifo_r                                                                                                                                                                                                                                                                                                                                                                                                 ;              ;
;             |scfifo:rfifo|                                                                                                                                                                                                                      ; 90 (0)      ; 78 (0)                    ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 12 (0)       ; 38 (0)            ; 40 (0)           ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|jtag_uart:the_jtag_uart|jtag_uart_scfifo_r:the_jtag_uart_scfifo_r|scfifo:rfifo                                                                                                                                                                                                                                                                                                                                                                                    ;              ;
;                |a_fffifo:subfifo|                                                                                                                                                                                                               ; 90 (1)      ; 78 (0)                    ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 12 (1)       ; 38 (0)            ; 40 (0)           ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|jtag_uart:the_jtag_uart|jtag_uart_scfifo_r:the_jtag_uart_scfifo_r|scfifo:rfifo|a_fffifo:subfifo                                                                                                                                                                                                                                                                                                                                                                   ;              ;
;                   |a_fefifo:fifo_state|                                                                                                                                                                                                         ; 8 (8)       ; 3 (3)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 5 (5)        ; 0 (0)             ; 3 (3)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|jtag_uart:the_jtag_uart|jtag_uart_scfifo_r:the_jtag_uart_scfifo_r|scfifo:rfifo|a_fffifo:subfifo|a_fefifo:fifo_state                                                                                                                                                                                                                                                                                                                                               ;              ;
;                   |lpm_counter:rd_ptr|                                                                                                                                                                                                          ; 3 (0)       ; 3 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 3 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|jtag_uart:the_jtag_uart|jtag_uart_scfifo_r:the_jtag_uart_scfifo_r|scfifo:rfifo|a_fffifo:subfifo|lpm_counter:rd_ptr                                                                                                                                                                                                                                                                                                                                                ;              ;
;                      |cntr_p9f:auto_generated|                                                                                                                                                                                                  ; 3 (3)       ; 3 (3)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 3 (3)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|jtag_uart:the_jtag_uart|jtag_uart_scfifo_r:the_jtag_uart_scfifo_r|scfifo:rfifo|a_fffifo:subfifo|lpm_counter:rd_ptr|cntr_p9f:auto_generated                                                                                                                                                                                                                                                                                                                        ;              ;
;                   |lpm_ff:last_data_node[0]|                                                                                                                                                                                                    ; 8 (8)       ; 8 (8)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 8 (8)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|jtag_uart:the_jtag_uart|jtag_uart_scfifo_r:the_jtag_uart_scfifo_r|scfifo:rfifo|a_fffifo:subfifo|lpm_ff:last_data_node[0]                                                                                                                                                                                                                                                                                                                                          ;              ;
;                   |lpm_ff:last_data_node[1]|                                                                                                                                                                                                    ; 8 (8)       ; 8 (8)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 8 (8)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|jtag_uart:the_jtag_uart|jtag_uart_scfifo_r:the_jtag_uart_scfifo_r|scfifo:rfifo|a_fffifo:subfifo|lpm_ff:last_data_node[1]                                                                                                                                                                                                                                                                                                                                          ;              ;
;                   |lpm_ff:last_data_node[2]|                                                                                                                                                                                                    ; 8 (8)       ; 8 (8)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 2 (2)             ; 6 (6)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|jtag_uart:the_jtag_uart|jtag_uart_scfifo_r:the_jtag_uart_scfifo_r|scfifo:rfifo|a_fffifo:subfifo|lpm_ff:last_data_node[2]                                                                                                                                                                                                                                                                                                                                          ;              ;
;                   |lpm_ff:last_data_node[3]|                                                                                                                                                                                                    ; 8 (8)       ; 8 (8)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 8 (8)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|jtag_uart:the_jtag_uart|jtag_uart_scfifo_r:the_jtag_uart_scfifo_r|scfifo:rfifo|a_fffifo:subfifo|lpm_ff:last_data_node[3]                                                                                                                                                                                                                                                                                                                                          ;              ;
;                   |lpm_ff:last_data_node[4]|                                                                                                                                                                                                    ; 8 (8)       ; 8 (8)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 2 (2)             ; 6 (6)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|jtag_uart:the_jtag_uart|jtag_uart_scfifo_r:the_jtag_uart_scfifo_r|scfifo:rfifo|a_fffifo:subfifo|lpm_ff:last_data_node[4]                                                                                                                                                                                                                                                                                                                                          ;              ;
;                   |lpm_ff:last_data_node[5]|                                                                                                                                                                                                    ; 8 (8)       ; 8 (8)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 2 (2)             ; 6 (6)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|jtag_uart:the_jtag_uart|jtag_uart_scfifo_r:the_jtag_uart_scfifo_r|scfifo:rfifo|a_fffifo:subfifo|lpm_ff:last_data_node[5]                                                                                                                                                                                                                                                                                                                                          ;              ;
;                   |lpm_ff:last_data_node[6]|                                                                                                                                                                                                    ; 8 (8)       ; 8 (8)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 8 (8)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|jtag_uart:the_jtag_uart|jtag_uart_scfifo_r:the_jtag_uart_scfifo_r|scfifo:rfifo|a_fffifo:subfifo|lpm_ff:last_data_node[6]                                                                                                                                                                                                                                                                                                                                          ;              ;
;                   |lpm_ff:last_data_node[7]|                                                                                                                                                                                                    ; 8 (8)       ; 8 (8)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 8 (8)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|jtag_uart:the_jtag_uart|jtag_uart_scfifo_r:the_jtag_uart_scfifo_r|scfifo:rfifo|a_fffifo:subfifo|lpm_ff:last_data_node[7]                                                                                                                                                                                                                                                                                                                                          ;              ;
;                   |lpm_ff:output_buffer|                                                                                                                                                                                                        ; 8 (8)       ; 8 (8)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 8 (8)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|jtag_uart:the_jtag_uart|jtag_uart_scfifo_r:the_jtag_uart_scfifo_r|scfifo:rfifo|a_fffifo:subfifo|lpm_ff:output_buffer                                                                                                                                                                                                                                                                                                                                              ;              ;
;                   |lpm_mux:last_row_data_out_mux|                                                                                                                                                                                               ; 40 (0)      ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 6 (0)        ; 0 (0)             ; 34 (0)           ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|jtag_uart:the_jtag_uart|jtag_uart_scfifo_r:the_jtag_uart_scfifo_r|scfifo:rfifo|a_fffifo:subfifo|lpm_mux:last_row_data_out_mux                                                                                                                                                                                                                                                                                                                                     ;              ;
;                      |mux_dqc:auto_generated|                                                                                                                                                                                                   ; 40 (40)     ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 6 (6)        ; 0 (0)             ; 34 (34)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|jtag_uart:the_jtag_uart|jtag_uart_scfifo_r:the_jtag_uart_scfifo_r|scfifo:rfifo|a_fffifo:subfifo|lpm_mux:last_row_data_out_mux|mux_dqc:auto_generated                                                                                                                                                                                                                                                                                                              ;              ;
;          |jtag_uart_scfifo_w:the_jtag_uart_scfifo_w|                                                                                                                                                                                            ; 92 (0)      ; 78 (0)                    ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 14 (0)       ; 40 (0)            ; 38 (0)           ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|jtag_uart:the_jtag_uart|jtag_uart_scfifo_w:the_jtag_uart_scfifo_w                                                                                                                                                                                                                                                                                                                                                                                                 ;              ;
;             |scfifo:wfifo|                                                                                                                                                                                                                      ; 92 (0)      ; 78 (0)                    ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 14 (0)       ; 40 (0)            ; 38 (0)           ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|jtag_uart:the_jtag_uart|jtag_uart_scfifo_w:the_jtag_uart_scfifo_w|scfifo:wfifo                                                                                                                                                                                                                                                                                                                                                                                    ;              ;
;                |a_fffifo:subfifo|                                                                                                                                                                                                               ; 92 (1)      ; 78 (0)                    ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 14 (1)       ; 40 (0)            ; 38 (0)           ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|jtag_uart:the_jtag_uart|jtag_uart_scfifo_w:the_jtag_uart_scfifo_w|scfifo:wfifo|a_fffifo:subfifo                                                                                                                                                                                                                                                                                                                                                                   ;              ;
;                   |a_fefifo:fifo_state|                                                                                                                                                                                                         ; 8 (8)       ; 3 (3)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 5 (5)        ; 0 (0)             ; 3 (3)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|jtag_uart:the_jtag_uart|jtag_uart_scfifo_w:the_jtag_uart_scfifo_w|scfifo:wfifo|a_fffifo:subfifo|a_fefifo:fifo_state                                                                                                                                                                                                                                                                                                                                               ;              ;
;                   |lpm_counter:rd_ptr|                                                                                                                                                                                                          ; 3 (0)       ; 3 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 3 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|jtag_uart:the_jtag_uart|jtag_uart_scfifo_w:the_jtag_uart_scfifo_w|scfifo:wfifo|a_fffifo:subfifo|lpm_counter:rd_ptr                                                                                                                                                                                                                                                                                                                                                ;              ;
;                      |cntr_p9f:auto_generated|                                                                                                                                                                                                  ; 3 (3)       ; 3 (3)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 3 (3)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|jtag_uart:the_jtag_uart|jtag_uart_scfifo_w:the_jtag_uart_scfifo_w|scfifo:wfifo|a_fffifo:subfifo|lpm_counter:rd_ptr|cntr_p9f:auto_generated                                                                                                                                                                                                                                                                                                                        ;              ;
;                   |lpm_ff:last_data_node[0]|                                                                                                                                                                                                    ; 8 (8)       ; 8 (8)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 8 (8)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|jtag_uart:the_jtag_uart|jtag_uart_scfifo_w:the_jtag_uart_scfifo_w|scfifo:wfifo|a_fffifo:subfifo|lpm_ff:last_data_node[0]                                                                                                                                                                                                                                                                                                                                          ;              ;
;                   |lpm_ff:last_data_node[1]|                                                                                                                                                                                                    ; 8 (8)       ; 8 (8)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 8 (8)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|jtag_uart:the_jtag_uart|jtag_uart_scfifo_w:the_jtag_uart_scfifo_w|scfifo:wfifo|a_fffifo:subfifo|lpm_ff:last_data_node[1]                                                                                                                                                                                                                                                                                                                                          ;              ;
;                   |lpm_ff:last_data_node[2]|                                                                                                                                                                                                    ; 8 (8)       ; 8 (8)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 2 (2)             ; 6 (6)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|jtag_uart:the_jtag_uart|jtag_uart_scfifo_w:the_jtag_uart_scfifo_w|scfifo:wfifo|a_fffifo:subfifo|lpm_ff:last_data_node[2]                                                                                                                                                                                                                                                                                                                                          ;              ;
;                   |lpm_ff:last_data_node[3]|                                                                                                                                                                                                    ; 8 (8)       ; 8 (8)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 8 (8)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|jtag_uart:the_jtag_uart|jtag_uart_scfifo_w:the_jtag_uart_scfifo_w|scfifo:wfifo|a_fffifo:subfifo|lpm_ff:last_data_node[3]                                                                                                                                                                                                                                                                                                                                          ;              ;
;                   |lpm_ff:last_data_node[4]|                                                                                                                                                                                                    ; 8 (8)       ; 8 (8)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 3 (3)             ; 5 (5)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|jtag_uart:the_jtag_uart|jtag_uart_scfifo_w:the_jtag_uart_scfifo_w|scfifo:wfifo|a_fffifo:subfifo|lpm_ff:last_data_node[4]                                                                                                                                                                                                                                                                                                                                          ;              ;
;                   |lpm_ff:last_data_node[5]|                                                                                                                                                                                                    ; 8 (8)       ; 8 (8)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 3 (3)             ; 5 (5)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|jtag_uart:the_jtag_uart|jtag_uart_scfifo_w:the_jtag_uart_scfifo_w|scfifo:wfifo|a_fffifo:subfifo|lpm_ff:last_data_node[5]                                                                                                                                                                                                                                                                                                                                          ;              ;
;                   |lpm_ff:last_data_node[6]|                                                                                                                                                                                                    ; 8 (8)       ; 8 (8)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 8 (8)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|jtag_uart:the_jtag_uart|jtag_uart_scfifo_w:the_jtag_uart_scfifo_w|scfifo:wfifo|a_fffifo:subfifo|lpm_ff:last_data_node[6]                                                                                                                                                                                                                                                                                                                                          ;              ;
;                   |lpm_ff:last_data_node[7]|                                                                                                                                                                                                    ; 8 (8)       ; 8 (8)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 8 (8)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|jtag_uart:the_jtag_uart|jtag_uart_scfifo_w:the_jtag_uart_scfifo_w|scfifo:wfifo|a_fffifo:subfifo|lpm_ff:last_data_node[7]                                                                                                                                                                                                                                                                                                                                          ;              ;
;                   |lpm_ff:output_buffer|                                                                                                                                                                                                        ; 8 (8)       ; 8 (8)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 8 (8)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|jtag_uart:the_jtag_uart|jtag_uart_scfifo_w:the_jtag_uart_scfifo_w|scfifo:wfifo|a_fffifo:subfifo|lpm_ff:output_buffer                                                                                                                                                                                                                                                                                                                                              ;              ;
;                   |lpm_mux:last_row_data_out_mux|                                                                                                                                                                                               ; 40 (0)      ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 8 (0)        ; 0 (0)             ; 32 (0)           ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|jtag_uart:the_jtag_uart|jtag_uart_scfifo_w:the_jtag_uart_scfifo_w|scfifo:wfifo|a_fffifo:subfifo|lpm_mux:last_row_data_out_mux                                                                                                                                                                                                                                                                                                                                     ;              ;
;                      |mux_dqc:auto_generated|                                                                                                                                                                                                   ; 40 (40)     ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 8 (8)        ; 0 (0)             ; 32 (32)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|jtag_uart:the_jtag_uart|jtag_uart_scfifo_w:the_jtag_uart_scfifo_w|scfifo:wfifo|a_fffifo:subfifo|lpm_mux:last_row_data_out_mux|mux_dqc:auto_generated                                                                                                                                                                                                                                                                                                              ;              ;
;       |jtag_uart_avalon_jtag_slave_arbitrator:the_jtag_uart_avalon_jtag_slave|                                                                                                                                                                  ; 4 (4)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 4 (4)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|jtag_uart_avalon_jtag_slave_arbitrator:the_jtag_uart_avalon_jtag_slave                                                                                                                                                                                                                                                                                                                                                                                            ;              ;
;       |lcd_32_to_8_bits_dfa:the_lcd_32_to_8_bits_dfa|                                                                                                                                                                                           ; 53 (53)     ; 41 (41)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 12 (12)      ; 17 (17)           ; 24 (24)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_32_to_8_bits_dfa:the_lcd_32_to_8_bits_dfa                                                                                                                                                                                                                                                                                                                                                                                                                     ;              ;
;       |lcd_64_to_32_bits_dfa:the_lcd_64_to_32_bits_dfa|                                                                                                                                                                                         ; 93 (93)     ; 86 (86)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 7 (7)        ; 53 (53)           ; 33 (33)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_64_to_32_bits_dfa:the_lcd_64_to_32_bits_dfa                                                                                                                                                                                                                                                                                                                                                                                                                   ;              ;
;       |lcd_i2c_en:the_lcd_i2c_en|                                                                                                                                                                                                               ; 2 (2)       ; 1 (1)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 0 (0)             ; 1 (1)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_i2c_en:the_lcd_i2c_en                                                                                                                                                                                                                                                                                                                                                                                                                                         ;              ;
;       |lcd_i2c_en_s1_arbitrator:the_lcd_i2c_en_s1|                                                                                                                                                                                              ; 4 (4)       ; 1 (1)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 3 (3)        ; 0 (0)             ; 1 (1)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_i2c_en_s1_arbitrator:the_lcd_i2c_en_s1                                                                                                                                                                                                                                                                                                                                                                                                                        ;              ;
;       |lcd_i2c_scl:the_lcd_i2c_scl|                                                                                                                                                                                                             ; 2 (2)       ; 1 (1)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 0 (0)             ; 1 (1)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_i2c_scl:the_lcd_i2c_scl                                                                                                                                                                                                                                                                                                                                                                                                                                       ;              ;
;       |lcd_i2c_scl_s1_arbitrator:the_lcd_i2c_scl_s1|                                                                                                                                                                                            ; 5 (5)       ; 1 (1)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 4 (4)        ; 0 (0)             ; 1 (1)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_i2c_scl_s1_arbitrator:the_lcd_i2c_scl_s1                                                                                                                                                                                                                                                                                                                                                                                                                      ;              ;
;       |lcd_i2c_sdat:the_lcd_i2c_sdat|                                                                                                                                                                                                           ; 4 (4)       ; 3 (3)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 0 (0)             ; 3 (3)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_i2c_sdat:the_lcd_i2c_sdat                                                                                                                                                                                                                                                                                                                                                                                                                                     ;              ;
;       |lcd_i2c_sdat_s1_arbitrator:the_lcd_i2c_sdat_s1|                                                                                                                                                                                          ; 4 (4)       ; 1 (1)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 3 (3)        ; 0 (0)             ; 1 (1)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_i2c_sdat_s1_arbitrator:the_lcd_i2c_sdat_s1                                                                                                                                                                                                                                                                                                                                                                                                                    ;              ;
;       |lcd_pixel_fifo:the_lcd_pixel_fifo|                                                                                                                                                                                                       ; 124 (6)     ; 102 (5)                   ; 0 (0)         ; 6784        ; 2    ; 0            ; 0       ; 0         ; 0    ; 0            ; 21 (0)       ; 49 (3)            ; 54 (2)           ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_pixel_fifo:the_lcd_pixel_fifo                                                                                                                                                                                                                                                                                                                                                                                                                                 ;              ;
;          |lcd_pixel_fifo_dcfifo_with_controls:the_dcfifo_with_controls|                                                                                                                                                                         ; 119 (0)     ; 97 (0)                    ; 0 (0)         ; 6784        ; 2    ; 0            ; 0       ; 0         ; 0    ; 0            ; 21 (0)       ; 46 (0)            ; 52 (0)           ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_pixel_fifo:the_lcd_pixel_fifo|lcd_pixel_fifo_dcfifo_with_controls:the_dcfifo_with_controls                                                                                                                                                                                                                                                                                                                                                                    ;              ;
;             |lcd_pixel_fifo_dual_clock_fifo:the_dcfifo|                                                                                                                                                                                         ; 119 (3)     ; 97 (0)                    ; 0 (0)         ; 6784        ; 2    ; 0            ; 0       ; 0         ; 0    ; 0            ; 21 (3)       ; 46 (0)            ; 52 (0)           ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_pixel_fifo:the_lcd_pixel_fifo|lcd_pixel_fifo_dcfifo_with_controls:the_dcfifo_with_controls|lcd_pixel_fifo_dual_clock_fifo:the_dcfifo                                                                                                                                                                                                                                                                                                                          ;              ;
;                |dcfifo:dual_clock_fifo|                                                                                                                                                                                                         ; 116 (0)     ; 97 (0)                    ; 0 (0)         ; 6784        ; 2    ; 0            ; 0       ; 0         ; 0    ; 0            ; 18 (0)       ; 46 (0)            ; 52 (0)           ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_pixel_fifo:the_lcd_pixel_fifo|lcd_pixel_fifo_dcfifo_with_controls:the_dcfifo_with_controls|lcd_pixel_fifo_dual_clock_fifo:the_dcfifo|dcfifo:dual_clock_fifo                                                                                                                                                                                                                                                                                                   ;              ;
;                   |dcfifo_tpg1:auto_generated|                                                                                                                                                                                                  ; 116 (31)    ; 97 (16)                   ; 0 (0)         ; 6784        ; 2    ; 0            ; 0       ; 0         ; 0    ; 0            ; 18 (11)      ; 46 (11)           ; 52 (5)           ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_pixel_fifo:the_lcd_pixel_fifo|lcd_pixel_fifo_dcfifo_with_controls:the_dcfifo_with_controls|lcd_pixel_fifo_dual_clock_fifo:the_dcfifo|dcfifo:dual_clock_fifo|dcfifo_tpg1:auto_generated                                                                                                                                                                                                                                                                        ;              ;
;                      |a_gray2bin_kfb:wrptr_g_gray2bin|                                                                                                                                                                                          ; 4 (4)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 0 (0)             ; 3 (3)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_pixel_fifo:the_lcd_pixel_fifo|lcd_pixel_fifo_dcfifo_with_controls:the_dcfifo_with_controls|lcd_pixel_fifo_dual_clock_fifo:the_dcfifo|dcfifo:dual_clock_fifo|dcfifo_tpg1:auto_generated|a_gray2bin_kfb:wrptr_g_gray2bin                                                                                                                                                                                                                                        ;              ;
;                      |a_gray2bin_kfb:ws_dgrp_gray2bin|                                                                                                                                                                                          ; 7 (7)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 7 (7)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_pixel_fifo:the_lcd_pixel_fifo|lcd_pixel_fifo_dcfifo_with_controls:the_dcfifo_with_controls|lcd_pixel_fifo_dual_clock_fifo:the_dcfifo|dcfifo:dual_clock_fifo|dcfifo_tpg1:auto_generated|a_gray2bin_kfb:ws_dgrp_gray2bin                                                                                                                                                                                                                                        ;              ;
;                      |a_graycounter_gic:wrptr_gp|                                                                                                                                                                                               ; 21 (21)     ; 15 (15)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 4 (4)        ; 3 (3)             ; 14 (14)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_pixel_fifo:the_lcd_pixel_fifo|lcd_pixel_fifo_dcfifo_with_controls:the_dcfifo_with_controls|lcd_pixel_fifo_dual_clock_fifo:the_dcfifo|dcfifo:dual_clock_fifo|dcfifo_tpg1:auto_generated|a_graycounter_gic:wrptr_gp                                                                                                                                                                                                                                             ;              ;
;                      |a_graycounter_j47:rdptr_g1p|                                                                                                                                                                                              ; 24 (24)     ; 18 (18)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 2 (2)        ; 3 (3)             ; 19 (19)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_pixel_fifo:the_lcd_pixel_fifo|lcd_pixel_fifo_dcfifo_with_controls:the_dcfifo_with_controls|lcd_pixel_fifo_dual_clock_fifo:the_dcfifo|dcfifo:dual_clock_fifo|dcfifo_tpg1:auto_generated|a_graycounter_j47:rdptr_g1p                                                                                                                                                                                                                                            ;              ;
;                      |alt_synch_pipe_ikd:rs_dgwp|                                                                                                                                                                                               ; 16 (0)      ; 16 (0)                    ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 14 (0)            ; 2 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_pixel_fifo:the_lcd_pixel_fifo|lcd_pixel_fifo_dcfifo_with_controls:the_dcfifo_with_controls|lcd_pixel_fifo_dual_clock_fifo:the_dcfifo|dcfifo:dual_clock_fifo|dcfifo_tpg1:auto_generated|alt_synch_pipe_ikd:rs_dgwp                                                                                                                                                                                                                                             ;              ;
;                         |dffpipe_hd9:dffpipe15|                                                                                                                                                                                                 ; 16 (16)     ; 16 (16)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 14 (14)           ; 2 (2)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_pixel_fifo:the_lcd_pixel_fifo|lcd_pixel_fifo_dcfifo_with_controls:the_dcfifo_with_controls|lcd_pixel_fifo_dual_clock_fifo:the_dcfifo|dcfifo:dual_clock_fifo|dcfifo_tpg1:auto_generated|alt_synch_pipe_ikd:rs_dgwp|dffpipe_hd9:dffpipe15                                                                                                                                                                                                                       ;              ;
;                      |alt_synch_pipe_jkd:ws_dgrp|                                                                                                                                                                                               ; 16 (0)      ; 16 (0)                    ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 11 (0)            ; 5 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_pixel_fifo:the_lcd_pixel_fifo|lcd_pixel_fifo_dcfifo_with_controls:the_dcfifo_with_controls|lcd_pixel_fifo_dual_clock_fifo:the_dcfifo|dcfifo:dual_clock_fifo|dcfifo_tpg1:auto_generated|alt_synch_pipe_jkd:ws_dgrp                                                                                                                                                                                                                                             ;              ;
;                         |dffpipe_jd9:dffpipe19|                                                                                                                                                                                                 ; 16 (16)     ; 16 (16)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 11 (11)           ; 5 (5)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_pixel_fifo:the_lcd_pixel_fifo|lcd_pixel_fifo_dcfifo_with_controls:the_dcfifo_with_controls|lcd_pixel_fifo_dual_clock_fifo:the_dcfifo|dcfifo:dual_clock_fifo|dcfifo_tpg1:auto_generated|alt_synch_pipe_jkd:ws_dgrp|dffpipe_jd9:dffpipe19                                                                                                                                                                                                                       ;              ;
;                      |altsyncram_1j31:fifo_ram|                                                                                                                                                                                                 ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 6784        ; 2    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_pixel_fifo:the_lcd_pixel_fifo|lcd_pixel_fifo_dcfifo_with_controls:the_dcfifo_with_controls|lcd_pixel_fifo_dual_clock_fifo:the_dcfifo|dcfifo:dual_clock_fifo|dcfifo_tpg1:auto_generated|altsyncram_1j31:fifo_ram                                                                                                                                                                                                                                               ;              ;
;                      |cmpr_556:rdempty_eq_comp|                                                                                                                                                                                                 ; 5 (5)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 5 (5)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_pixel_fifo:the_lcd_pixel_fifo|lcd_pixel_fifo_dcfifo_with_controls:the_dcfifo_with_controls|lcd_pixel_fifo_dual_clock_fifo:the_dcfifo|dcfifo:dual_clock_fifo|dcfifo_tpg1:auto_generated|cmpr_556:rdempty_eq_comp                                                                                                                                                                                                                                               ;              ;
;                      |cmpr_556:wrfull_eq_comp|                                                                                                                                                                                                  ; 6 (6)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 6 (6)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_pixel_fifo:the_lcd_pixel_fifo|lcd_pixel_fifo_dcfifo_with_controls:the_dcfifo_with_controls|lcd_pixel_fifo_dual_clock_fifo:the_dcfifo|dcfifo:dual_clock_fifo|dcfifo_tpg1:auto_generated|cmpr_556:wrfull_eq_comp                                                                                                                                                                                                                                                ;              ;
;                      |dffpipe_id9:ws_brp|                                                                                                                                                                                                       ; 9 (9)       ; 9 (9)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 2 (2)             ; 7 (7)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_pixel_fifo:the_lcd_pixel_fifo|lcd_pixel_fifo_dcfifo_with_controls:the_dcfifo_with_controls|lcd_pixel_fifo_dual_clock_fifo:the_dcfifo|dcfifo:dual_clock_fifo|dcfifo_tpg1:auto_generated|dffpipe_id9:ws_brp                                                                                                                                                                                                                                                     ;              ;
;                      |dffpipe_id9:ws_bwp|                                                                                                                                                                                                       ; 7 (7)       ; 7 (7)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 2 (2)             ; 5 (5)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_pixel_fifo:the_lcd_pixel_fifo|lcd_pixel_fifo_dcfifo_with_controls:the_dcfifo_with_controls|lcd_pixel_fifo_dual_clock_fifo:the_dcfifo|dcfifo:dual_clock_fifo|dcfifo_tpg1:auto_generated|dffpipe_id9:ws_bwp                                                                                                                                                                                                                                                     ;              ;
;       |lcd_sgdma:the_lcd_sgdma|                                                                                                                                                                                                                 ; 1206 (9)    ; 970 (3)                   ; 0 (0)         ; 1826        ; 5    ; 0            ; 0       ; 0         ; 0    ; 0            ; 236 (6)      ; 464 (0)           ; 506 (4)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_sgdma:the_lcd_sgdma                                                                                                                                                                                                                                                                                                                                                                                                                                           ;              ;
;          |lcd_sgdma_chain:the_lcd_sgdma_chain|                                                                                                                                                                                                  ; 673 (0)     ; 619 (0)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 54 (0)       ; 283 (0)           ; 336 (0)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_sgdma:the_lcd_sgdma|lcd_sgdma_chain:the_lcd_sgdma_chain                                                                                                                                                                                                                                                                                                                                                                                                       ;              ;
;             |control_status_slave_which_resides_within_lcd_sgdma:the_control_status_slave_which_resides_within_lcd_sgdma|                                                                                                                       ; 207 (207)   ; 172 (172)                 ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 35 (35)      ; 26 (26)           ; 146 (146)        ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_sgdma:the_lcd_sgdma|lcd_sgdma_chain:the_lcd_sgdma_chain|control_status_slave_which_resides_within_lcd_sgdma:the_control_status_slave_which_resides_within_lcd_sgdma                                                                                                                                                                                                                                                                                           ;              ;
;             |descriptor_read_which_resides_within_lcd_sgdma:the_descriptor_read_which_resides_within_lcd_sgdma|                                                                                                                                 ; 407 (377)   ; 389 (364)                 ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 18 (13)      ; 224 (210)         ; 165 (154)        ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_sgdma:the_lcd_sgdma|lcd_sgdma_chain:the_lcd_sgdma_chain|descriptor_read_which_resides_within_lcd_sgdma:the_descriptor_read_which_resides_within_lcd_sgdma                                                                                                                                                                                                                                                                                                     ;              ;
;                |descriptor_read_which_resides_within_lcd_sgdma_control_bits_fifo:the_descriptor_read_which_resides_within_lcd_sgdma_control_bits_fifo|                                                                                          ; 30 (0)      ; 25 (0)                    ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 5 (0)        ; 14 (0)            ; 11 (0)           ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_sgdma:the_lcd_sgdma|lcd_sgdma_chain:the_lcd_sgdma_chain|descriptor_read_which_resides_within_lcd_sgdma:the_descriptor_read_which_resides_within_lcd_sgdma|descriptor_read_which_resides_within_lcd_sgdma_control_bits_fifo:the_descriptor_read_which_resides_within_lcd_sgdma_control_bits_fifo                                                                                                                                                               ;              ;
;                   |scfifo:descriptor_read_which_resides_within_lcd_sgdma_control_bits_fifo_controlbitsfifo|                                                                                                                                     ; 30 (0)      ; 25 (0)                    ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 5 (0)        ; 14 (0)            ; 11 (0)           ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_sgdma:the_lcd_sgdma|lcd_sgdma_chain:the_lcd_sgdma_chain|descriptor_read_which_resides_within_lcd_sgdma:the_descriptor_read_which_resides_within_lcd_sgdma|descriptor_read_which_resides_within_lcd_sgdma_control_bits_fifo:the_descriptor_read_which_resides_within_lcd_sgdma_control_bits_fifo|scfifo:descriptor_read_which_resides_within_lcd_sgdma_control_bits_fifo_controlbitsfifo                                                                       ;              ;
;                      |a_fffifo:subfifo|                                                                                                                                                                                                         ; 30 (3)      ; 25 (0)                    ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 5 (3)        ; 14 (0)            ; 11 (0)           ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_sgdma:the_lcd_sgdma|lcd_sgdma_chain:the_lcd_sgdma_chain|descriptor_read_which_resides_within_lcd_sgdma:the_descriptor_read_which_resides_within_lcd_sgdma|descriptor_read_which_resides_within_lcd_sgdma_control_bits_fifo:the_descriptor_read_which_resides_within_lcd_sgdma_control_bits_fifo|scfifo:descriptor_read_which_resides_within_lcd_sgdma_control_bits_fifo_controlbitsfifo|a_fffifo:subfifo                                                      ;              ;
;                         |a_fefifo:fifo_state|                                                                                                                                                                                                   ; 5 (5)       ; 3 (3)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 2 (2)        ; 0 (0)             ; 3 (3)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_sgdma:the_lcd_sgdma|lcd_sgdma_chain:the_lcd_sgdma_chain|descriptor_read_which_resides_within_lcd_sgdma:the_descriptor_read_which_resides_within_lcd_sgdma|descriptor_read_which_resides_within_lcd_sgdma_control_bits_fifo:the_descriptor_read_which_resides_within_lcd_sgdma_control_bits_fifo|scfifo:descriptor_read_which_resides_within_lcd_sgdma_control_bits_fifo_controlbitsfifo|a_fffifo:subfifo|a_fefifo:fifo_state                                  ;              ;
;                         |lpm_counter:rd_ptr|                                                                                                                                                                                                    ; 1 (0)       ; 1 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 1 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_sgdma:the_lcd_sgdma|lcd_sgdma_chain:the_lcd_sgdma_chain|descriptor_read_which_resides_within_lcd_sgdma:the_descriptor_read_which_resides_within_lcd_sgdma|descriptor_read_which_resides_within_lcd_sgdma_control_bits_fifo:the_descriptor_read_which_resides_within_lcd_sgdma_control_bits_fifo|scfifo:descriptor_read_which_resides_within_lcd_sgdma_control_bits_fifo_controlbitsfifo|a_fffifo:subfifo|lpm_counter:rd_ptr                                   ;              ;
;                            |cntr_n9f:auto_generated|                                                                                                                                                                                            ; 1 (1)       ; 1 (1)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 1 (1)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_sgdma:the_lcd_sgdma|lcd_sgdma_chain:the_lcd_sgdma_chain|descriptor_read_which_resides_within_lcd_sgdma:the_descriptor_read_which_resides_within_lcd_sgdma|descriptor_read_which_resides_within_lcd_sgdma_control_bits_fifo:the_descriptor_read_which_resides_within_lcd_sgdma_control_bits_fifo|scfifo:descriptor_read_which_resides_within_lcd_sgdma_control_bits_fifo_controlbitsfifo|a_fffifo:subfifo|lpm_counter:rd_ptr|cntr_n9f:auto_generated           ;              ;
;                         |lpm_ff:last_data_node[0]|                                                                                                                                                                                              ; 7 (7)       ; 7 (7)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 7 (7)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_sgdma:the_lcd_sgdma|lcd_sgdma_chain:the_lcd_sgdma_chain|descriptor_read_which_resides_within_lcd_sgdma:the_descriptor_read_which_resides_within_lcd_sgdma|descriptor_read_which_resides_within_lcd_sgdma_control_bits_fifo:the_descriptor_read_which_resides_within_lcd_sgdma_control_bits_fifo|scfifo:descriptor_read_which_resides_within_lcd_sgdma_control_bits_fifo_controlbitsfifo|a_fffifo:subfifo|lpm_ff:last_data_node[0]                             ;              ;
;                         |lpm_ff:last_data_node[1]|                                                                                                                                                                                              ; 7 (7)       ; 7 (7)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 7 (7)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_sgdma:the_lcd_sgdma|lcd_sgdma_chain:the_lcd_sgdma_chain|descriptor_read_which_resides_within_lcd_sgdma:the_descriptor_read_which_resides_within_lcd_sgdma|descriptor_read_which_resides_within_lcd_sgdma_control_bits_fifo:the_descriptor_read_which_resides_within_lcd_sgdma_control_bits_fifo|scfifo:descriptor_read_which_resides_within_lcd_sgdma_control_bits_fifo_controlbitsfifo|a_fffifo:subfifo|lpm_ff:last_data_node[1]                             ;              ;
;                         |lpm_ff:output_buffer|                                                                                                                                                                                                  ; 7 (7)       ; 7 (7)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 7 (7)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_sgdma:the_lcd_sgdma|lcd_sgdma_chain:the_lcd_sgdma_chain|descriptor_read_which_resides_within_lcd_sgdma:the_descriptor_read_which_resides_within_lcd_sgdma|descriptor_read_which_resides_within_lcd_sgdma_control_bits_fifo:the_descriptor_read_which_resides_within_lcd_sgdma_control_bits_fifo|scfifo:descriptor_read_which_resides_within_lcd_sgdma_control_bits_fifo_controlbitsfifo|a_fffifo:subfifo|lpm_ff:output_buffer                                 ;              ;
;                         |lpm_mux:last_row_data_out_mux|                                                                                                                                                                                         ; 7 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 7 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_sgdma:the_lcd_sgdma|lcd_sgdma_chain:the_lcd_sgdma_chain|descriptor_read_which_resides_within_lcd_sgdma:the_descriptor_read_which_resides_within_lcd_sgdma|descriptor_read_which_resides_within_lcd_sgdma_control_bits_fifo:the_descriptor_read_which_resides_within_lcd_sgdma_control_bits_fifo|scfifo:descriptor_read_which_resides_within_lcd_sgdma_control_bits_fifo_controlbitsfifo|a_fffifo:subfifo|lpm_mux:last_row_data_out_mux                        ;              ;
;                            |mux_4qc:auto_generated|                                                                                                                                                                                             ; 7 (7)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 7 (7)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_sgdma:the_lcd_sgdma|lcd_sgdma_chain:the_lcd_sgdma_chain|descriptor_read_which_resides_within_lcd_sgdma:the_descriptor_read_which_resides_within_lcd_sgdma|descriptor_read_which_resides_within_lcd_sgdma_control_bits_fifo:the_descriptor_read_which_resides_within_lcd_sgdma_control_bits_fifo|scfifo:descriptor_read_which_resides_within_lcd_sgdma_control_bits_fifo_controlbitsfifo|a_fffifo:subfifo|lpm_mux:last_row_data_out_mux|mux_4qc:auto_generated ;              ;
;             |descriptor_write_which_resides_within_lcd_sgdma:the_descriptor_write_which_resides_within_lcd_sgdma|                                                                                                                               ; 59 (59)     ; 58 (58)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 33 (33)           ; 25 (25)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_sgdma:the_lcd_sgdma|lcd_sgdma_chain:the_lcd_sgdma_chain|descriptor_write_which_resides_within_lcd_sgdma:the_descriptor_write_which_resides_within_lcd_sgdma                                                                                                                                                                                                                                                                                                   ;              ;
;          |lcd_sgdma_command_fifo:the_lcd_sgdma_command_fifo|                                                                                                                                                                                    ; 11 (0)      ; 8 (0)                     ; 0 (0)         ; 82          ; 2    ; 0            ; 0       ; 0         ; 0    ; 0            ; 3 (0)        ; 0 (0)             ; 8 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_sgdma:the_lcd_sgdma|lcd_sgdma_command_fifo:the_lcd_sgdma_command_fifo                                                                                                                                                                                                                                                                                                                                                                                         ;              ;
;             |scfifo:lcd_sgdma_command_fifo_command_fifo|                                                                                                                                                                                        ; 11 (0)      ; 8 (0)                     ; 0 (0)         ; 82          ; 2    ; 0            ; 0       ; 0         ; 0    ; 0            ; 3 (0)        ; 0 (0)             ; 8 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_sgdma:the_lcd_sgdma|lcd_sgdma_command_fifo:the_lcd_sgdma_command_fifo|scfifo:lcd_sgdma_command_fifo_command_fifo                                                                                                                                                                                                                                                                                                                                              ;              ;
;                |scfifo_2c31:auto_generated|                                                                                                                                                                                                     ; 11 (0)      ; 8 (0)                     ; 0 (0)         ; 82          ; 2    ; 0            ; 0       ; 0         ; 0    ; 0            ; 3 (0)        ; 0 (0)             ; 8 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_sgdma:the_lcd_sgdma|lcd_sgdma_command_fifo:the_lcd_sgdma_command_fifo|scfifo:lcd_sgdma_command_fifo_command_fifo|scfifo_2c31:auto_generated                                                                                                                                                                                                                                                                                                                   ;              ;
;                   |a_dpfifo_9i31:dpfifo|                                                                                                                                                                                                        ; 11 (9)      ; 8 (6)                     ; 0 (0)         ; 82          ; 2    ; 0            ; 0       ; 0         ; 0    ; 0            ; 3 (3)        ; 0 (0)             ; 8 (6)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_sgdma:the_lcd_sgdma|lcd_sgdma_command_fifo:the_lcd_sgdma_command_fifo|scfifo:lcd_sgdma_command_fifo_command_fifo|scfifo_2c31:auto_generated|a_dpfifo_9i31:dpfifo                                                                                                                                                                                                                                                                                              ;              ;
;                      |altsyncram_qsd1:FIFOram|                                                                                                                                                                                                  ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 82          ; 2    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_sgdma:the_lcd_sgdma|lcd_sgdma_command_fifo:the_lcd_sgdma_command_fifo|scfifo:lcd_sgdma_command_fifo_command_fifo|scfifo_2c31:auto_generated|a_dpfifo_9i31:dpfifo|altsyncram_qsd1:FIFOram                                                                                                                                                                                                                                                                      ;              ;
;                      |cntr_jmb:wr_ptr|                                                                                                                                                                                                          ; 1 (1)       ; 1 (1)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 1 (1)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_sgdma:the_lcd_sgdma|lcd_sgdma_command_fifo:the_lcd_sgdma_command_fifo|scfifo:lcd_sgdma_command_fifo_command_fifo|scfifo_2c31:auto_generated|a_dpfifo_9i31:dpfifo|cntr_jmb:wr_ptr                                                                                                                                                                                                                                                                              ;              ;
;                      |cntr_vm7:usedw_counter|                                                                                                                                                                                                   ; 1 (1)       ; 1 (1)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 1 (1)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_sgdma:the_lcd_sgdma|lcd_sgdma_command_fifo:the_lcd_sgdma_command_fifo|scfifo:lcd_sgdma_command_fifo_command_fifo|scfifo_2c31:auto_generated|a_dpfifo_9i31:dpfifo|cntr_vm7:usedw_counter                                                                                                                                                                                                                                                                       ;              ;
;          |lcd_sgdma_command_grabber:the_lcd_sgdma_command_grabber|                                                                                                                                                                              ; 45 (45)     ; 44 (44)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 42 (42)           ; 2 (2)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_sgdma:the_lcd_sgdma|lcd_sgdma_command_grabber:the_lcd_sgdma_command_grabber                                                                                                                                                                                                                                                                                                                                                                                   ;              ;
;          |lcd_sgdma_desc_address_fifo:the_lcd_sgdma_desc_address_fifo|                                                                                                                                                                          ; 79 (0)      ; 73 (0)                    ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 6 (0)        ; 46 (0)            ; 27 (0)           ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_sgdma:the_lcd_sgdma|lcd_sgdma_desc_address_fifo:the_lcd_sgdma_desc_address_fifo                                                                                                                                                                                                                                                                                                                                                                               ;              ;
;             |scfifo:lcd_sgdma_desc_address_fifo_desc_address_fifo|                                                                                                                                                                              ; 79 (0)      ; 73 (0)                    ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 6 (0)        ; 46 (0)            ; 27 (0)           ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_sgdma:the_lcd_sgdma|lcd_sgdma_desc_address_fifo:the_lcd_sgdma_desc_address_fifo|scfifo:lcd_sgdma_desc_address_fifo_desc_address_fifo                                                                                                                                                                                                                                                                                                                          ;              ;
;                |a_fffifo:subfifo|                                                                                                                                                                                                               ; 79 (3)      ; 73 (0)                    ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 6 (3)        ; 46 (0)            ; 27 (0)           ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_sgdma:the_lcd_sgdma|lcd_sgdma_desc_address_fifo:the_lcd_sgdma_desc_address_fifo|scfifo:lcd_sgdma_desc_address_fifo_desc_address_fifo|a_fffifo:subfifo                                                                                                                                                                                                                                                                                                         ;              ;
;                   |a_fefifo:fifo_state|                                                                                                                                                                                                         ; 6 (6)       ; 3 (3)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 3 (3)        ; 0 (0)             ; 3 (3)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_sgdma:the_lcd_sgdma|lcd_sgdma_desc_address_fifo:the_lcd_sgdma_desc_address_fifo|scfifo:lcd_sgdma_desc_address_fifo_desc_address_fifo|a_fffifo:subfifo|a_fefifo:fifo_state                                                                                                                                                                                                                                                                                     ;              ;
;                   |lpm_counter:rd_ptr|                                                                                                                                                                                                          ; 1 (0)       ; 1 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 1 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_sgdma:the_lcd_sgdma|lcd_sgdma_desc_address_fifo:the_lcd_sgdma_desc_address_fifo|scfifo:lcd_sgdma_desc_address_fifo_desc_address_fifo|a_fffifo:subfifo|lpm_counter:rd_ptr                                                                                                                                                                                                                                                                                      ;              ;
;                      |cntr_n9f:auto_generated|                                                                                                                                                                                                  ; 1 (1)       ; 1 (1)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 1 (1)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_sgdma:the_lcd_sgdma|lcd_sgdma_desc_address_fifo:the_lcd_sgdma_desc_address_fifo|scfifo:lcd_sgdma_desc_address_fifo_desc_address_fifo|a_fffifo:subfifo|lpm_counter:rd_ptr|cntr_n9f:auto_generated                                                                                                                                                                                                                                                              ;              ;
;                   |lpm_ff:last_data_node[0]|                                                                                                                                                                                                    ; 23 (23)     ; 23 (23)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 23 (23)           ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_sgdma:the_lcd_sgdma|lcd_sgdma_desc_address_fifo:the_lcd_sgdma_desc_address_fifo|scfifo:lcd_sgdma_desc_address_fifo_desc_address_fifo|a_fffifo:subfifo|lpm_ff:last_data_node[0]                                                                                                                                                                                                                                                                                ;              ;
;                   |lpm_ff:last_data_node[1]|                                                                                                                                                                                                    ; 23 (23)     ; 23 (23)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 23 (23)           ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_sgdma:the_lcd_sgdma|lcd_sgdma_desc_address_fifo:the_lcd_sgdma_desc_address_fifo|scfifo:lcd_sgdma_desc_address_fifo_desc_address_fifo|a_fffifo:subfifo|lpm_ff:last_data_node[1]                                                                                                                                                                                                                                                                                ;              ;
;                   |lpm_ff:output_buffer|                                                                                                                                                                                                        ; 23 (23)     ; 23 (23)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 23 (23)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_sgdma:the_lcd_sgdma|lcd_sgdma_desc_address_fifo:the_lcd_sgdma_desc_address_fifo|scfifo:lcd_sgdma_desc_address_fifo_desc_address_fifo|a_fffifo:subfifo|lpm_ff:output_buffer                                                                                                                                                                                                                                                                                    ;              ;
;                   |lpm_mux:last_row_data_out_mux|                                                                                                                                                                                               ; 23 (0)      ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 23 (0)           ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_sgdma:the_lcd_sgdma|lcd_sgdma_desc_address_fifo:the_lcd_sgdma_desc_address_fifo|scfifo:lcd_sgdma_desc_address_fifo_desc_address_fifo|a_fffifo:subfifo|lpm_mux:last_row_data_out_mux                                                                                                                                                                                                                                                                           ;              ;
;                      |mux_irc:auto_generated|                                                                                                                                                                                                   ; 23 (23)     ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 23 (23)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_sgdma:the_lcd_sgdma|lcd_sgdma_desc_address_fifo:the_lcd_sgdma_desc_address_fifo|scfifo:lcd_sgdma_desc_address_fifo_desc_address_fifo|a_fffifo:subfifo|lpm_mux:last_row_data_out_mux|mux_irc:auto_generated                                                                                                                                                                                                                                                    ;              ;
;          |lcd_sgdma_m_read:the_lcd_sgdma_m_read|                                                                                                                                                                                                ; 282 (282)   ; 129 (129)                 ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 149 (149)    ; 42 (42)           ; 91 (91)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_sgdma:the_lcd_sgdma|lcd_sgdma_m_read:the_lcd_sgdma_m_read                                                                                                                                                                                                                                                                                                                                                                                                     ;              ;
;          |lcd_sgdma_m_readfifo:the_lcd_sgdma_m_readfifo|                                                                                                                                                                                        ; 100 (66)    ; 86 (62)                   ; 0 (0)         ; 1696        ; 2    ; 0            ; 0       ; 0         ; 0    ; 0            ; 14 (4)       ; 51 (50)           ; 35 (12)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_sgdma:the_lcd_sgdma|lcd_sgdma_m_readfifo:the_lcd_sgdma_m_readfifo                                                                                                                                                                                                                                                                                                                                                                                             ;              ;
;             |lcd_sgdma_m_readfifo_m_readfifo:the_lcd_sgdma_m_readfifo_m_readfifo|                                                                                                                                                               ; 34 (0)      ; 24 (0)                    ; 0 (0)         ; 1696        ; 2    ; 0            ; 0       ; 0         ; 0    ; 0            ; 10 (0)       ; 1 (0)             ; 23 (0)           ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_sgdma:the_lcd_sgdma|lcd_sgdma_m_readfifo:the_lcd_sgdma_m_readfifo|lcd_sgdma_m_readfifo_m_readfifo:the_lcd_sgdma_m_readfifo_m_readfifo                                                                                                                                                                                                                                                                                                                         ;              ;
;                |scfifo:lcd_sgdma_m_readfifo_m_readfifo_m_readfifo|                                                                                                                                                                              ; 34 (0)      ; 24 (0)                    ; 0 (0)         ; 1696        ; 2    ; 0            ; 0       ; 0         ; 0    ; 0            ; 10 (0)       ; 1 (0)             ; 23 (0)           ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_sgdma:the_lcd_sgdma|lcd_sgdma_m_readfifo:the_lcd_sgdma_m_readfifo|lcd_sgdma_m_readfifo_m_readfifo:the_lcd_sgdma_m_readfifo_m_readfifo|scfifo:lcd_sgdma_m_readfifo_m_readfifo_m_readfifo                                                                                                                                                                                                                                                                       ;              ;
;                   |scfifo_ru31:auto_generated|                                                                                                                                                                                                  ; 34 (0)      ; 24 (0)                    ; 0 (0)         ; 1696        ; 2    ; 0            ; 0       ; 0         ; 0    ; 0            ; 10 (0)       ; 1 (0)             ; 23 (0)           ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_sgdma:the_lcd_sgdma|lcd_sgdma_m_readfifo:the_lcd_sgdma_m_readfifo|lcd_sgdma_m_readfifo_m_readfifo:the_lcd_sgdma_m_readfifo_m_readfifo|scfifo:lcd_sgdma_m_readfifo_m_readfifo_m_readfifo|scfifo_ru31:auto_generated                                                                                                                                                                                                                                            ;              ;
;                      |a_dpfifo_2541:dpfifo|                                                                                                                                                                                                     ; 34 (20)     ; 24 (10)                   ; 0 (0)         ; 1696        ; 2    ; 0            ; 0       ; 0         ; 0    ; 0            ; 10 (10)      ; 1 (1)             ; 23 (9)           ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_sgdma:the_lcd_sgdma|lcd_sgdma_m_readfifo:the_lcd_sgdma_m_readfifo|lcd_sgdma_m_readfifo_m_readfifo:the_lcd_sgdma_m_readfifo_m_readfifo|scfifo:lcd_sgdma_m_readfifo_m_readfifo_m_readfifo|scfifo_ru31:auto_generated|a_dpfifo_2541:dpfifo                                                                                                                                                                                                                       ;              ;
;                         |altsyncram_std1:FIFOram|                                                                                                                                                                                               ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 1696        ; 2    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_sgdma:the_lcd_sgdma|lcd_sgdma_m_readfifo:the_lcd_sgdma_m_readfifo|lcd_sgdma_m_readfifo_m_readfifo:the_lcd_sgdma_m_readfifo_m_readfifo|scfifo:lcd_sgdma_m_readfifo_m_readfifo_m_readfifo|scfifo_ru31:auto_generated|a_dpfifo_2541:dpfifo|altsyncram_std1:FIFOram                                                                                                                                                                                               ;              ;
;                         |cntr_3n7:usedw_counter|                                                                                                                                                                                                ; 5 (5)       ; 5 (5)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 5 (5)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_sgdma:the_lcd_sgdma|lcd_sgdma_m_readfifo:the_lcd_sgdma_m_readfifo|lcd_sgdma_m_readfifo_m_readfifo:the_lcd_sgdma_m_readfifo_m_readfifo|scfifo:lcd_sgdma_m_readfifo_m_readfifo_m_readfifo|scfifo_ru31:auto_generated|a_dpfifo_2541:dpfifo|cntr_3n7:usedw_counter                                                                                                                                                                                                ;              ;
;                         |cntr_mmb:rd_ptr_msb|                                                                                                                                                                                                   ; 4 (4)       ; 4 (4)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 4 (4)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_sgdma:the_lcd_sgdma|lcd_sgdma_m_readfifo:the_lcd_sgdma_m_readfifo|lcd_sgdma_m_readfifo_m_readfifo:the_lcd_sgdma_m_readfifo_m_readfifo|scfifo:lcd_sgdma_m_readfifo_m_readfifo_m_readfifo|scfifo_ru31:auto_generated|a_dpfifo_2541:dpfifo|cntr_mmb:rd_ptr_msb                                                                                                                                                                                                   ;              ;
;                         |cntr_nmb:wr_ptr|                                                                                                                                                                                                       ; 5 (5)       ; 5 (5)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 5 (5)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_sgdma:the_lcd_sgdma|lcd_sgdma_m_readfifo:the_lcd_sgdma_m_readfifo|lcd_sgdma_m_readfifo_m_readfifo:the_lcd_sgdma_m_readfifo_m_readfifo|scfifo:lcd_sgdma_m_readfifo_m_readfifo_m_readfifo|scfifo_ru31:auto_generated|a_dpfifo_2541:dpfifo|cntr_nmb:wr_ptr                                                                                                                                                                                                       ;              ;
;          |lcd_sgdma_status_token_fifo:the_lcd_sgdma_status_token_fifo|                                                                                                                                                                          ; 11 (0)      ; 8 (0)                     ; 0 (0)         ; 48          ; 1    ; 0            ; 0       ; 0         ; 0    ; 0            ; 3 (0)        ; 0 (0)             ; 8 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_sgdma:the_lcd_sgdma|lcd_sgdma_status_token_fifo:the_lcd_sgdma_status_token_fifo                                                                                                                                                                                                                                                                                                                                                                               ;              ;
;             |scfifo:lcd_sgdma_status_token_fifo_status_token_fifo|                                                                                                                                                                              ; 11 (0)      ; 8 (0)                     ; 0 (0)         ; 48          ; 1    ; 0            ; 0       ; 0         ; 0    ; 0            ; 3 (0)        ; 0 (0)             ; 8 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_sgdma:the_lcd_sgdma|lcd_sgdma_status_token_fifo:the_lcd_sgdma_status_token_fifo|scfifo:lcd_sgdma_status_token_fifo_status_token_fifo                                                                                                                                                                                                                                                                                                                          ;              ;
;                |scfifo_ja31:auto_generated|                                                                                                                                                                                                     ; 11 (0)      ; 8 (0)                     ; 0 (0)         ; 48          ; 1    ; 0            ; 0       ; 0         ; 0    ; 0            ; 3 (0)        ; 0 (0)             ; 8 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_sgdma:the_lcd_sgdma|lcd_sgdma_status_token_fifo:the_lcd_sgdma_status_token_fifo|scfifo:lcd_sgdma_status_token_fifo_status_token_fifo|scfifo_ja31:auto_generated                                                                                                                                                                                                                                                                                               ;              ;
;                   |a_dpfifo_qg31:dpfifo|                                                                                                                                                                                                        ; 11 (9)      ; 8 (6)                     ; 0 (0)         ; 48          ; 1    ; 0            ; 0       ; 0         ; 0    ; 0            ; 3 (3)        ; 0 (0)             ; 8 (6)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_sgdma:the_lcd_sgdma|lcd_sgdma_status_token_fifo:the_lcd_sgdma_status_token_fifo|scfifo:lcd_sgdma_status_token_fifo_status_token_fifo|scfifo_ja31:auto_generated|a_dpfifo_qg31:dpfifo                                                                                                                                                                                                                                                                          ;              ;
;                      |altsyncram_spd1:FIFOram|                                                                                                                                                                                                  ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 48          ; 1    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_sgdma:the_lcd_sgdma|lcd_sgdma_status_token_fifo:the_lcd_sgdma_status_token_fifo|scfifo:lcd_sgdma_status_token_fifo_status_token_fifo|scfifo_ja31:auto_generated|a_dpfifo_qg31:dpfifo|altsyncram_spd1:FIFOram                                                                                                                                                                                                                                                  ;              ;
;                      |cntr_jmb:wr_ptr|                                                                                                                                                                                                          ; 1 (1)       ; 1 (1)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 1 (1)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_sgdma:the_lcd_sgdma|lcd_sgdma_status_token_fifo:the_lcd_sgdma_status_token_fifo|scfifo:lcd_sgdma_status_token_fifo_status_token_fifo|scfifo_ja31:auto_generated|a_dpfifo_qg31:dpfifo|cntr_jmb:wr_ptr                                                                                                                                                                                                                                                          ;              ;
;                      |cntr_vm7:usedw_counter|                                                                                                                                                                                                   ; 1 (1)       ; 1 (1)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 1 (1)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_sgdma:the_lcd_sgdma|lcd_sgdma_status_token_fifo:the_lcd_sgdma_status_token_fifo|scfifo:lcd_sgdma_status_token_fifo_status_token_fifo|scfifo_ja31:auto_generated|a_dpfifo_qg31:dpfifo|cntr_vm7:usedw_counter                                                                                                                                                                                                                                                   ;              ;
;       |lcd_sgdma_csr_arbitrator:the_lcd_sgdma_csr|                                                                                                                                                                                              ; 12 (12)     ; 1 (1)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 10 (10)      ; 0 (0)             ; 2 (2)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_sgdma_csr_arbitrator:the_lcd_sgdma_csr                                                                                                                                                                                                                                                                                                                                                                                                                        ;              ;
;       |lcd_sgdma_descriptor_read_arbitrator:the_lcd_sgdma_descriptor_read|                                                                                                                                                                      ; 161 (33)    ; 64 (0)                    ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 65 (1)       ; 0 (0)             ; 96 (32)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_sgdma_descriptor_read_arbitrator:the_lcd_sgdma_descriptor_read                                                                                                                                                                                                                                                                                                                                                                                                ;              ;
;          |selecto_nrdv_lcd_sgdma_descriptor_read_1_ddr_sdram_s1_fifo_module:selecto_nrdv_lcd_sgdma_descriptor_read_1_ddr_sdram_s1_fifo|                                                                                                         ; 128 (128)   ; 64 (64)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 64 (64)      ; 0 (0)             ; 64 (64)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_sgdma_descriptor_read_arbitrator:the_lcd_sgdma_descriptor_read|selecto_nrdv_lcd_sgdma_descriptor_read_1_ddr_sdram_s1_fifo_module:selecto_nrdv_lcd_sgdma_descriptor_read_1_ddr_sdram_s1_fifo                                                                                                                                                                                                                                                                   ;              ;
;       |lcd_sync_generator:the_lcd_sync_generator|                                                                                                                                                                                               ; 57 (0)      ; 36 (0)                    ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 21 (0)       ; 8 (0)             ; 28 (0)           ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_sync_generator:the_lcd_sync_generator                                                                                                                                                                                                                                                                                                                                                                                                                         ;              ;
;          |altera_avalon_video_sync_generator:lcd_sync_generator|                                                                                                                                                                                ; 57 (57)     ; 36 (36)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 21 (21)      ; 8 (8)             ; 28 (28)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_sync_generator:the_lcd_sync_generator|altera_avalon_video_sync_generator:lcd_sync_generator                                                                                                                                                                                                                                                                                                                                                                   ;              ;
;       |lcd_ta_fifo_to_dfa:the_lcd_ta_fifo_to_dfa|                                                                                                                                                                                               ; 506 (0)     ; 486 (0)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 20 (0)       ; 214 (0)           ; 272 (0)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_ta_fifo_to_dfa:the_lcd_ta_fifo_to_dfa                                                                                                                                                                                                                                                                                                                                                                                                                         ;              ;
;          |lcd_ta_fifo_to_dfa_fifo:lcd_ta_fifo_to_dfa_fifo|                                                                                                                                                                                      ; 506 (506)   ; 486 (486)                 ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 20 (20)      ; 214 (214)         ; 272 (272)        ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_ta_fifo_to_dfa:the_lcd_ta_fifo_to_dfa|lcd_ta_fifo_to_dfa_fifo:lcd_ta_fifo_to_dfa_fifo                                                                                                                                                                                                                                                                                                                                                                         ;              ;
;       |lcd_ta_sgdma_to_fifo:the_lcd_ta_sgdma_to_fifo|                                                                                                                                                                                           ; 1 (1)       ; 1 (1)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 1 (1)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|lcd_ta_sgdma_to_fifo:the_lcd_ta_sgdma_to_fifo                                                                                                                                                                                                                                                                                                                                                                                                                     ;              ;
;       |led_pio:the_led_pio|                                                                                                                                                                                                                     ; 5 (5)       ; 2 (2)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 3 (3)        ; 2 (2)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|led_pio:the_led_pio                                                                                                                                                                                                                                                                                                                                                                                                                                               ;              ;
;       |led_pio_s1_arbitrator:the_led_pio_s1|                                                                                                                                                                                                    ; 3 (3)       ; 1 (1)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 2 (2)        ; 0 (0)             ; 1 (1)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|led_pio_s1_arbitrator:the_led_pio_s1                                                                                                                                                                                                                                                                                                                                                                                                                              ;              ;
;       |performance_counter:the_performance_counter|                                                                                                                                                                                             ; 331 (331)   ; 226 (226)                 ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 105 (105)    ; 0 (0)             ; 226 (226)        ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|performance_counter:the_performance_counter                                                                                                                                                                                                                                                                                                                                                                                                                       ;              ;
;       |performance_counter_control_slave_arbitrator:the_performance_counter_control_slave|                                                                                                                                                      ; 2 (2)       ; 1 (1)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 2 (2)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|performance_counter_control_slave_arbitrator:the_performance_counter_control_slave                                                                                                                                                                                                                                                                                                                                                                                ;              ;
;       |pio_id_eeprom_dat:the_pio_id_eeprom_dat|                                                                                                                                                                                                 ; 3 (3)       ; 3 (3)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 3 (3)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|pio_id_eeprom_dat:the_pio_id_eeprom_dat                                                                                                                                                                                                                                                                                                                                                                                                                           ;              ;
;       |pio_id_eeprom_dat_s1_arbitrator:the_pio_id_eeprom_dat_s1|                                                                                                                                                                                ; 4 (4)       ; 1 (1)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 3 (3)        ; 0 (0)             ; 1 (1)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|pio_id_eeprom_dat_s1_arbitrator:the_pio_id_eeprom_dat_s1                                                                                                                                                                                                                                                                                                                                                                                                          ;              ;
;       |pio_id_eeprom_scl:the_pio_id_eeprom_scl|                                                                                                                                                                                                 ; 1 (1)       ; 1 (1)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 1 (1)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|pio_id_eeprom_scl:the_pio_id_eeprom_scl                                                                                                                                                                                                                                                                                                                                                                                                                           ;              ;
;       |pio_id_eeprom_scl_s1_arbitrator:the_pio_id_eeprom_scl_s1|                                                                                                                                                                                ; 3 (3)       ; 1 (1)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 2 (2)        ; 0 (0)             ; 1 (1)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|pio_id_eeprom_scl_s1_arbitrator:the_pio_id_eeprom_scl_s1                                                                                                                                                                                                                                                                                                                                                                                                          ;              ;
;       |pipeline_bridge_before_tristate_bridge:the_pipeline_bridge_before_tristate_bridge|                                                                                                                                                       ; 178 (0)     ; 159 (0)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 17 (0)       ; 81 (0)            ; 80 (0)           ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|pipeline_bridge_before_tristate_bridge:the_pipeline_bridge_before_tristate_bridge                                                                                                                                                                                                                                                                                                                                                                                 ;              ;
;          |pipeline_bridge_before_tristate_bridge_downstream_adapter:the_pipeline_bridge_before_tristate_bridge_downstream_adapter|                                                                                                              ; 96 (96)     ; 62 (62)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 16 (16)      ; 34 (34)           ; 46 (46)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|pipeline_bridge_before_tristate_bridge:the_pipeline_bridge_before_tristate_bridge|pipeline_bridge_before_tristate_bridge_downstream_adapter:the_pipeline_bridge_before_tristate_bridge_downstream_adapter                                                                                                                                                                                                                                                         ;              ;
;          |pipeline_bridge_before_tristate_bridge_upstream_adapter:the_pipeline_bridge_before_tristate_bridge_upstream_adapter|                                                                                                                  ; 33 (33)     ; 33 (33)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 1 (1)             ; 32 (32)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|pipeline_bridge_before_tristate_bridge:the_pipeline_bridge_before_tristate_bridge|pipeline_bridge_before_tristate_bridge_upstream_adapter:the_pipeline_bridge_before_tristate_bridge_upstream_adapter                                                                                                                                                                                                                                                             ;              ;
;          |pipeline_bridge_before_tristate_bridge_waitrequest_adapter:the_pipeline_bridge_before_tristate_bridge_waitrequest_adapter|                                                                                                            ; 68 (68)     ; 64 (64)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 46 (46)           ; 21 (21)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|pipeline_bridge_before_tristate_bridge:the_pipeline_bridge_before_tristate_bridge|pipeline_bridge_before_tristate_bridge_waitrequest_adapter:the_pipeline_bridge_before_tristate_bridge_waitrequest_adapter                                                                                                                                                                                                                                                       ;              ;
;       |pipeline_bridge_before_tristate_bridge_m1_arbitrator:the_pipeline_bridge_before_tristate_bridge_m1|                                                                                                                                      ; 66 (66)     ; 22 (22)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 12 (12)      ; 16 (16)           ; 38 (38)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|pipeline_bridge_before_tristate_bridge_m1_arbitrator:the_pipeline_bridge_before_tristate_bridge_m1                                                                                                                                                                                                                                                                                                                                                                ;              ;
;       |pipeline_bridge_before_tristate_bridge_s1_arbitrator:the_pipeline_bridge_before_tristate_bridge_s1|                                                                                                                                      ; 109 (59)    ; 45 (14)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 64 (45)      ; 5 (1)             ; 40 (13)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|pipeline_bridge_before_tristate_bridge_s1_arbitrator:the_pipeline_bridge_before_tristate_bridge_s1                                                                                                                                                                                                                                                                                                                                                                ;              ;
;          |rdv_fifo_for_cycloneIII_3c25_niosII_video_sopc_burst_0_downstream_to_pipeline_bridge_before_tristate_bridge_s1_module:rdv_fifo_for_cycloneIII_3c25_niosII_video_sopc_burst_0_downstream_to_pipeline_bridge_before_tristate_bridge_s1| ; 26 (26)     ; 19 (19)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 7 (7)        ; 3 (3)             ; 16 (16)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|pipeline_bridge_before_tristate_bridge_s1_arbitrator:the_pipeline_bridge_before_tristate_bridge_s1|rdv_fifo_for_cycloneIII_3c25_niosII_video_sopc_burst_0_downstream_to_pipeline_bridge_before_tristate_bridge_s1_module:rdv_fifo_for_cycloneIII_3c25_niosII_video_sopc_burst_0_downstream_to_pipeline_bridge_before_tristate_bridge_s1                                                                                                                           ;              ;
;          |rdv_fifo_for_flash_ssram_pipeline_bridge_m1_to_pipeline_bridge_before_tristate_bridge_s1_module:rdv_fifo_for_flash_ssram_pipeline_bridge_m1_to_pipeline_bridge_before_tristate_bridge_s1|                                             ; 24 (24)     ; 12 (12)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 12 (12)      ; 1 (1)             ; 11 (11)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|pipeline_bridge_before_tristate_bridge_s1_arbitrator:the_pipeline_bridge_before_tristate_bridge_s1|rdv_fifo_for_flash_ssram_pipeline_bridge_m1_to_pipeline_bridge_before_tristate_bridge_s1_module:rdv_fifo_for_flash_ssram_pipeline_bridge_m1_to_pipeline_bridge_before_tristate_bridge_s1                                                                                                                                                                       ;              ;
;       |pll:the_pll|                                                                                                                                                                                                                             ; 42 (42)     ; 24 (24)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 15 (15)           ; 26 (26)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|pll:the_pll                                                                                                                                                                                                                                                                                                                                                                                                                                                       ;              ;
;          |altpllpll:the_pll|                                                                                                                                                                                                                    ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|pll:the_pll|altpllpll:the_pll                                                                                                                                                                                                                                                                                                                                                                                                                                     ;              ;
;             |altpll:altpll_component|                                                                                                                                                                                                           ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|pll:the_pll|altpllpll:the_pll|altpll:altpll_component                                                                                                                                                                                                                                                                                                                                                                                                             ;              ;
;                |altpll_l9q2:auto_generated|                                                                                                                                                                                                     ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|pll:the_pll|altpllpll:the_pll|altpll:altpll_component|altpll_l9q2:auto_generated                                                                                                                                                                                                                                                                                                                                                                                  ;              ;
;       |pll_s1_arbitrator:the_pll_s1|                                                                                                                                                                                                            ; 1 (1)       ; 1 (1)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 1 (1)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|pll_s1_arbitrator:the_pll_s1                                                                                                                                                                                                                                                                                                                                                                                                                                      ;              ;
;       |raytrac_0:the_raytrac_0|                                                                                                                                                                                                                 ; 4527 (0)    ; 2957 (0)                  ; 0 (0)         ; 78848       ; 12   ; 45           ; 3       ; 21        ; 0    ; 0            ; 1570 (0)     ; 633 (0)           ; 2324 (0)         ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0                                                                                                                                                                                                                                                                                                                                                                                                                                           ;              ;
;          |raytrac:raytrac_0|                                                                                                                                                                                                                    ; 4527 (1464) ; 2957 (674)                ; 0 (0)         ; 78848       ; 12   ; 45           ; 3       ; 21        ; 0    ; 0            ; 1570 (790)   ; 633 (133)         ; 2324 (541)       ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0                                                                                                                                                                                                                                                                                                                                                                                                                         ;              ;
;             |ap_n_dpc:arithmetic_pipeline_and_datapath_controller|                                                                                                                                                                              ; 2954 (490)  ; 2207 (363)                ; 0 (0)         ; 37888       ; 7    ; 45           ; 3       ; 21        ; 0    ; 0            ; 747 (134)    ; 498 (60)          ; 1709 (556)       ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller                                                                                                                                                                                                                                                                                                                                                                    ;              ;
;                |arithblock:ap|                                                                                                                                                                                                                  ; 2203 (0)    ; 1455 (0)                  ; 0 (0)         ; 34816       ; 4    ; 45           ; 3       ; 21        ; 0    ; 0            ; 525 (0)      ; 278 (0)           ; 1400 (0)         ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|arithblock:ap                                                                                                                                                                                                                                                                                                                                                      ;              ;
;                   |fadd32:adder_i_0|                                                                                                                                                                                                            ; 361 (361)   ; 287 (287)                 ; 0 (0)         ; 0           ; 0    ; 3            ; 1       ; 1         ; 0    ; 0            ; 51 (51)      ; 61 (61)           ; 249 (249)        ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|arithblock:ap|fadd32:adder_i_0                                                                                                                                                                                                                                                                                                                                     ;              ;
;                      |lpm_mult:denormhighshiftermult|                                                                                                                                                                                           ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 2            ; 0       ; 1         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|arithblock:ap|fadd32:adder_i_0|lpm_mult:denormhighshiftermult                                                                                                                                                                                                                                                                                                      ;              ;
;                         |mult_2ds:auto_generated|                                                                                                                                                                                               ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 2            ; 0       ; 1         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|arithblock:ap|fadd32:adder_i_0|lpm_mult:denormhighshiftermult|mult_2ds:auto_generated                                                                                                                                                                                                                                                                              ;              ;
;                      |lpm_mult:denormlowshiftermult|                                                                                                                                                                                            ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 1            ; 1       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|arithblock:ap|fadd32:adder_i_0|lpm_mult:denormlowshiftermult                                                                                                                                                                                                                                                                                                       ;              ;
;                         |mult_ibs:auto_generated|                                                                                                                                                                                               ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 1            ; 1       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|arithblock:ap|fadd32:adder_i_0|lpm_mult:denormlowshiftermult|mult_ibs:auto_generated                                                                                                                                                                                                                                                                               ;              ;
;                   |fadd32:adder_i_1|                                                                                                                                                                                                            ; 368 (368)   ; 287 (287)                 ; 0 (0)         ; 0           ; 0    ; 3            ; 1       ; 1         ; 0    ; 0            ; 58 (58)      ; 60 (60)           ; 250 (250)        ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|arithblock:ap|fadd32:adder_i_1                                                                                                                                                                                                                                                                                                                                     ;              ;
;                      |lpm_mult:denormhighshiftermult|                                                                                                                                                                                           ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 2            ; 0       ; 1         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|arithblock:ap|fadd32:adder_i_1|lpm_mult:denormhighshiftermult                                                                                                                                                                                                                                                                                                      ;              ;
;                         |mult_2ds:auto_generated|                                                                                                                                                                                               ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 2            ; 0       ; 1         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|arithblock:ap|fadd32:adder_i_1|lpm_mult:denormhighshiftermult|mult_2ds:auto_generated                                                                                                                                                                                                                                                                              ;              ;
;                      |lpm_mult:denormlowshiftermult|                                                                                                                                                                                            ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 1            ; 1       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|arithblock:ap|fadd32:adder_i_1|lpm_mult:denormlowshiftermult                                                                                                                                                                                                                                                                                                       ;              ;
;                         |mult_ibs:auto_generated|                                                                                                                                                                                               ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 1            ; 1       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|arithblock:ap|fadd32:adder_i_1|lpm_mult:denormlowshiftermult|mult_ibs:auto_generated                                                                                                                                                                                                                                                                               ;              ;
;                   |fadd32:adder_i_2|                                                                                                                                                                                                            ; 361 (361)   ; 287 (287)                 ; 0 (0)         ; 0           ; 0    ; 3            ; 1       ; 1         ; 0    ; 0            ; 51 (51)      ; 61 (61)           ; 249 (249)        ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|arithblock:ap|fadd32:adder_i_2                                                                                                                                                                                                                                                                                                                                     ;              ;
;                      |lpm_mult:denormhighshiftermult|                                                                                                                                                                                           ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 2            ; 0       ; 1         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|arithblock:ap|fadd32:adder_i_2|lpm_mult:denormhighshiftermult                                                                                                                                                                                                                                                                                                      ;              ;
;                         |mult_2ds:auto_generated|                                                                                                                                                                                               ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 2            ; 0       ; 1         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|arithblock:ap|fadd32:adder_i_2|lpm_mult:denormhighshiftermult|mult_2ds:auto_generated                                                                                                                                                                                                                                                                              ;              ;
;                      |lpm_mult:denormlowshiftermult|                                                                                                                                                                                            ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 1            ; 1       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|arithblock:ap|fadd32:adder_i_2|lpm_mult:denormlowshiftermult                                                                                                                                                                                                                                                                                                       ;              ;
;                         |mult_ibs:auto_generated|                                                                                                                                                                                               ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 1            ; 1       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|arithblock:ap|fadd32:adder_i_2|lpm_mult:denormlowshiftermult|mult_ibs:auto_generated                                                                                                                                                                                                                                                                               ;              ;
;                   |fmul32:mul_i_0|                                                                                                                                                                                                              ; 178 (178)   ; 95 (95)                   ; 0 (0)         ; 0           ; 0    ; 6            ; 0       ; 3         ; 0    ; 0            ; 60 (60)      ; 9 (9)             ; 109 (109)        ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|arithblock:ap|fmul32:mul_i_0                                                                                                                                                                                                                                                                                                                                       ;              ;
;                      |lpm_mult:mult18x18ac|                                                                                                                                                                                                     ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 2            ; 0       ; 1         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|arithblock:ap|fmul32:mul_i_0|lpm_mult:mult18x18ac                                                                                                                                                                                                                                                                                                                  ;              ;
;                         |mult_ies:auto_generated|                                                                                                                                                                                               ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 2            ; 0       ; 1         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|arithblock:ap|fmul32:mul_i_0|lpm_mult:mult18x18ac|mult_ies:auto_generated                                                                                                                                                                                                                                                                                          ;              ;
;                      |lpm_mult:mult18x6ad|                                                                                                                                                                                                      ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 2            ; 0       ; 1         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|arithblock:ap|fmul32:mul_i_0|lpm_mult:mult18x6ad                                                                                                                                                                                                                                                                                                                   ;              ;
;                         |mult_scs:auto_generated|                                                                                                                                                                                               ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 2            ; 0       ; 1         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|arithblock:ap|fmul32:mul_i_0|lpm_mult:mult18x6ad|mult_scs:auto_generated                                                                                                                                                                                                                                                                                           ;              ;
;                      |lpm_mult:mult18x6bc|                                                                                                                                                                                                      ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 2            ; 0       ; 1         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|arithblock:ap|fmul32:mul_i_0|lpm_mult:mult18x6bc                                                                                                                                                                                                                                                                                                                   ;              ;
;                         |mult_scs:auto_generated|                                                                                                                                                                                               ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 2            ; 0       ; 1         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|arithblock:ap|fmul32:mul_i_0|lpm_mult:mult18x6bc|mult_scs:auto_generated                                                                                                                                                                                                                                                                                           ;              ;
;                   |fmul32:mul_i_1|                                                                                                                                                                                                              ; 175 (175)   ; 95 (95)                   ; 0 (0)         ; 0           ; 0    ; 6            ; 0       ; 3         ; 0    ; 0            ; 57 (57)      ; 31 (31)           ; 87 (87)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|arithblock:ap|fmul32:mul_i_1                                                                                                                                                                                                                                                                                                                                       ;              ;
;                      |lpm_mult:mult18x18ac|                                                                                                                                                                                                     ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 2            ; 0       ; 1         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|arithblock:ap|fmul32:mul_i_1|lpm_mult:mult18x18ac                                                                                                                                                                                                                                                                                                                  ;              ;
;                         |mult_ies:auto_generated|                                                                                                                                                                                               ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 2            ; 0       ; 1         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|arithblock:ap|fmul32:mul_i_1|lpm_mult:mult18x18ac|mult_ies:auto_generated                                                                                                                                                                                                                                                                                          ;              ;
;                      |lpm_mult:mult18x6ad|                                                                                                                                                                                                      ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 2            ; 0       ; 1         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|arithblock:ap|fmul32:mul_i_1|lpm_mult:mult18x6ad                                                                                                                                                                                                                                                                                                                   ;              ;
;                         |mult_scs:auto_generated|                                                                                                                                                                                               ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 2            ; 0       ; 1         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|arithblock:ap|fmul32:mul_i_1|lpm_mult:mult18x6ad|mult_scs:auto_generated                                                                                                                                                                                                                                                                                           ;              ;
;                      |lpm_mult:mult18x6bc|                                                                                                                                                                                                      ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 2            ; 0       ; 1         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|arithblock:ap|fmul32:mul_i_1|lpm_mult:mult18x6bc                                                                                                                                                                                                                                                                                                                   ;              ;
;                         |mult_scs:auto_generated|                                                                                                                                                                                               ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 2            ; 0       ; 1         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|arithblock:ap|fmul32:mul_i_1|lpm_mult:mult18x6bc|mult_scs:auto_generated                                                                                                                                                                                                                                                                                           ;              ;
;                   |fmul32:mul_i_2|                                                                                                                                                                                                              ; 172 (172)   ; 95 (95)                   ; 0 (0)         ; 0           ; 0    ; 6            ; 0       ; 3         ; 0    ; 0            ; 54 (54)      ; 29 (29)           ; 89 (89)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|arithblock:ap|fmul32:mul_i_2                                                                                                                                                                                                                                                                                                                                       ;              ;
;                      |lpm_mult:mult18x18ac|                                                                                                                                                                                                     ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 2            ; 0       ; 1         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|arithblock:ap|fmul32:mul_i_2|lpm_mult:mult18x18ac                                                                                                                                                                                                                                                                                                                  ;              ;
;                         |mult_ies:auto_generated|                                                                                                                                                                                               ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 2            ; 0       ; 1         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|arithblock:ap|fmul32:mul_i_2|lpm_mult:mult18x18ac|mult_ies:auto_generated                                                                                                                                                                                                                                                                                          ;              ;
;                      |lpm_mult:mult18x6ad|                                                                                                                                                                                                      ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 2            ; 0       ; 1         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|arithblock:ap|fmul32:mul_i_2|lpm_mult:mult18x6ad                                                                                                                                                                                                                                                                                                                   ;              ;
;                         |mult_scs:auto_generated|                                                                                                                                                                                               ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 2            ; 0       ; 1         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|arithblock:ap|fmul32:mul_i_2|lpm_mult:mult18x6ad|mult_scs:auto_generated                                                                                                                                                                                                                                                                                           ;              ;
;                      |lpm_mult:mult18x6bc|                                                                                                                                                                                                      ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 2            ; 0       ; 1         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|arithblock:ap|fmul32:mul_i_2|lpm_mult:mult18x6bc                                                                                                                                                                                                                                                                                                                   ;              ;
;                         |mult_scs:auto_generated|                                                                                                                                                                                               ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 2            ; 0       ; 1         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|arithblock:ap|fmul32:mul_i_2|lpm_mult:mult18x6bc|mult_scs:auto_generated                                                                                                                                                                                                                                                                                           ;              ;
;                   |fmul32:mul_i_3|                                                                                                                                                                                                              ; 184 (184)   ; 101 (101)                 ; 0 (0)         ; 0           ; 0    ; 6            ; 0       ; 3         ; 0    ; 0            ; 60 (60)      ; 9 (9)             ; 115 (115)        ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|arithblock:ap|fmul32:mul_i_3                                                                                                                                                                                                                                                                                                                                       ;              ;
;                      |lpm_mult:mult18x18ac|                                                                                                                                                                                                     ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 2            ; 0       ; 1         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|arithblock:ap|fmul32:mul_i_3|lpm_mult:mult18x18ac                                                                                                                                                                                                                                                                                                                  ;              ;
;                         |mult_ies:auto_generated|                                                                                                                                                                                               ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 2            ; 0       ; 1         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|arithblock:ap|fmul32:mul_i_3|lpm_mult:mult18x18ac|mult_ies:auto_generated                                                                                                                                                                                                                                                                                          ;              ;
;                      |lpm_mult:mult18x6ad|                                                                                                                                                                                                      ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 2            ; 0       ; 1         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|arithblock:ap|fmul32:mul_i_3|lpm_mult:mult18x6ad                                                                                                                                                                                                                                                                                                                   ;              ;
;                         |mult_scs:auto_generated|                                                                                                                                                                                               ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 2            ; 0       ; 1         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|arithblock:ap|fmul32:mul_i_3|lpm_mult:mult18x6ad|mult_scs:auto_generated                                                                                                                                                                                                                                                                                           ;              ;
;                      |lpm_mult:mult18x6bc|                                                                                                                                                                                                      ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 2            ; 0       ; 1         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|arithblock:ap|fmul32:mul_i_3|lpm_mult:mult18x6bc                                                                                                                                                                                                                                                                                                                   ;              ;
;                         |mult_scs:auto_generated|                                                                                                                                                                                               ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 2            ; 0       ; 1         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|arithblock:ap|fmul32:mul_i_3|lpm_mult:mult18x6bc|mult_scs:auto_generated                                                                                                                                                                                                                                                                                           ;              ;
;                   |fmul32:mul_i_4|                                                                                                                                                                                                              ; 184 (184)   ; 101 (101)                 ; 0 (0)         ; 0           ; 0    ; 6            ; 0       ; 3         ; 0    ; 0            ; 60 (60)      ; 9 (9)             ; 115 (115)        ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|arithblock:ap|fmul32:mul_i_4                                                                                                                                                                                                                                                                                                                                       ;              ;
;                      |lpm_mult:mult18x18ac|                                                                                                                                                                                                     ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 2            ; 0       ; 1         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|arithblock:ap|fmul32:mul_i_4|lpm_mult:mult18x18ac                                                                                                                                                                                                                                                                                                                  ;              ;
;                         |mult_ies:auto_generated|                                                                                                                                                                                               ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 2            ; 0       ; 1         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|arithblock:ap|fmul32:mul_i_4|lpm_mult:mult18x18ac|mult_ies:auto_generated                                                                                                                                                                                                                                                                                          ;              ;
;                      |lpm_mult:mult18x6ad|                                                                                                                                                                                                      ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 2            ; 0       ; 1         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|arithblock:ap|fmul32:mul_i_4|lpm_mult:mult18x6ad                                                                                                                                                                                                                                                                                                                   ;              ;
;                         |mult_scs:auto_generated|                                                                                                                                                                                               ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 2            ; 0       ; 1         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|arithblock:ap|fmul32:mul_i_4|lpm_mult:mult18x6ad|mult_scs:auto_generated                                                                                                                                                                                                                                                                                           ;              ;
;                      |lpm_mult:mult18x6bc|                                                                                                                                                                                                      ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 2            ; 0       ; 1         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|arithblock:ap|fmul32:mul_i_4|lpm_mult:mult18x6bc                                                                                                                                                                                                                                                                                                                   ;              ;
;                         |mult_scs:auto_generated|                                                                                                                                                                                               ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 2            ; 0       ; 1         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|arithblock:ap|fmul32:mul_i_4|lpm_mult:mult18x6bc|mult_scs:auto_generated                                                                                                                                                                                                                                                                                           ;              ;
;                   |fmul32:mul_i_5|                                                                                                                                                                                                              ; 190 (190)   ; 107 (107)                 ; 0 (0)         ; 0           ; 0    ; 6            ; 0       ; 3         ; 0    ; 0            ; 60 (60)      ; 9 (9)             ; 121 (121)        ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|arithblock:ap|fmul32:mul_i_5                                                                                                                                                                                                                                                                                                                                       ;              ;
;                      |lpm_mult:mult18x18ac|                                                                                                                                                                                                     ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 2            ; 0       ; 1         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|arithblock:ap|fmul32:mul_i_5|lpm_mult:mult18x18ac                                                                                                                                                                                                                                                                                                                  ;              ;
;                         |mult_ies:auto_generated|                                                                                                                                                                                               ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 2            ; 0       ; 1         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|arithblock:ap|fmul32:mul_i_5|lpm_mult:mult18x18ac|mult_ies:auto_generated                                                                                                                                                                                                                                                                                          ;              ;
;                      |lpm_mult:mult18x6ad|                                                                                                                                                                                                      ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 2            ; 0       ; 1         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|arithblock:ap|fmul32:mul_i_5|lpm_mult:mult18x6ad                                                                                                                                                                                                                                                                                                                   ;              ;
;                         |mult_scs:auto_generated|                                                                                                                                                                                               ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 2            ; 0       ; 1         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|arithblock:ap|fmul32:mul_i_5|lpm_mult:mult18x6ad|mult_scs:auto_generated                                                                                                                                                                                                                                                                                           ;              ;
;                      |lpm_mult:mult18x6bc|                                                                                                                                                                                                      ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 2            ; 0       ; 1         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|arithblock:ap|fmul32:mul_i_5|lpm_mult:mult18x6bc                                                                                                                                                                                                                                                                                                                   ;              ;
;                         |mult_scs:auto_generated|                                                                                                                                                                                               ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 2            ; 0       ; 1         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|arithblock:ap|fmul32:mul_i_5|lpm_mult:mult18x6bc|mult_scs:auto_generated                                                                                                                                                                                                                                                                                           ;              ;
;                   |invr32:inversion_block|                                                                                                                                                                                                      ; 23 (23)     ; 0 (0)                     ; 0 (0)         ; 17408       ; 2    ; 0            ; 0       ; 0         ; 0    ; 0            ; 7 (7)        ; 0 (0)             ; 16 (16)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|arithblock:ap|invr32:inversion_block                                                                                                                                                                                                                                                                                                                               ;              ;
;                      |altsyncram:altsyncram_component|                                                                                                                                                                                          ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 17408       ; 2    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|arithblock:ap|invr32:inversion_block|altsyncram:altsyncram_component                                                                                                                                                                                                                                                                                               ;              ;
;                         |altsyncram_b8a1:auto_generated|                                                                                                                                                                                        ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 17408       ; 2    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|arithblock:ap|invr32:inversion_block|altsyncram:altsyncram_component|altsyncram_b8a1:auto_generated                                                                                                                                                                                                                                                                ;              ;
;                   |sqrt32:square_root|                                                                                                                                                                                                          ; 7 (7)       ; 0 (0)                     ; 0 (0)         ; 17408       ; 2    ; 0            ; 0       ; 0         ; 0    ; 0            ; 7 (7)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|arithblock:ap|sqrt32:square_root                                                                                                                                                                                                                                                                                                                                   ;              ;
;                      |altsyncram:altsyncram_component|                                                                                                                                                                                          ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 17408       ; 2    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|arithblock:ap|sqrt32:square_root|altsyncram:altsyncram_component                                                                                                                                                                                                                                                                                                   ;              ;
;                         |altsyncram_m8a1:auto_generated|                                                                                                                                                                                        ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 17408       ; 2    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|arithblock:ap|sqrt32:square_root|altsyncram:altsyncram_component|altsyncram_m8a1:auto_generated                                                                                                                                                                                                                                                                    ;              ;
;                |scfifo:q0|                                                                                                                                                                                                                      ; 219 (0)     ; 198 (0)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 21 (0)       ; 76 (0)            ; 122 (0)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|scfifo:q0                                                                                                                                                                                                                                                                                                                                                          ;              ;
;                   |a_fffifo:subfifo|                                                                                                                                                                                                            ; 219 (2)     ; 198 (0)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 21 (2)       ; 76 (0)            ; 122 (0)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|scfifo:q0|a_fffifo:subfifo                                                                                                                                                                                                                                                                                                                                         ;              ;
;                      |a_fefifo:fifo_state|                                                                                                                                                                                                      ; 8 (8)       ; 3 (3)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 5 (5)        ; 0 (0)             ; 3 (3)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|scfifo:q0|a_fffifo:subfifo|a_fefifo:fifo_state                                                                                                                                                                                                                                                                                                                     ;              ;
;                      |lpm_counter:rd_ptr|                                                                                                                                                                                                       ; 3 (0)       ; 3 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 3 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|scfifo:q0|a_fffifo:subfifo|lpm_counter:rd_ptr                                                                                                                                                                                                                                                                                                                      ;              ;
;                         |cntr_p9f:auto_generated|                                                                                                                                                                                               ; 3 (3)       ; 3 (3)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 3 (3)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|scfifo:q0|a_fffifo:subfifo|lpm_counter:rd_ptr|cntr_p9f:auto_generated                                                                                                                                                                                                                                                                                              ;              ;
;                      |lpm_ff:data_node[0][0]|                                                                                                                                                                                                   ; 32 (32)     ; 32 (32)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 5 (5)             ; 27 (27)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|scfifo:q0|a_fffifo:subfifo|lpm_ff:data_node[0][0]                                                                                                                                                                                                                                                                                                                  ;              ;
;                      |lpm_ff:data_node[0][2]|                                                                                                                                                                                                   ; 32 (32)     ; 32 (32)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 4 (4)             ; 28 (28)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|scfifo:q0|a_fffifo:subfifo|lpm_ff:data_node[0][2]                                                                                                                                                                                                                                                                                                                  ;              ;
;                      |lpm_ff:data_node[0][3]|                                                                                                                                                                                                   ; 32 (32)     ; 32 (32)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 26 (26)           ; 6 (6)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|scfifo:q0|a_fffifo:subfifo|lpm_ff:data_node[0][3]                                                                                                                                                                                                                                                                                                                  ;              ;
;                      |lpm_ff:last_data_node[0]|                                                                                                                                                                                                 ; 32 (32)     ; 32 (32)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 11 (11)           ; 21 (21)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|scfifo:q0|a_fffifo:subfifo|lpm_ff:last_data_node[0]                                                                                                                                                                                                                                                                                                                ;              ;
;                      |lpm_ff:last_data_node[1]|                                                                                                                                                                                                 ; 32 (32)     ; 32 (32)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 30 (30)           ; 2 (2)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|scfifo:q0|a_fffifo:subfifo|lpm_ff:last_data_node[1]                                                                                                                                                                                                                                                                                                                ;              ;
;                      |lpm_ff:last_data_node[2]|                                                                                                                                                                                                 ; 32 (32)     ; 32 (32)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 32 (32)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|scfifo:q0|a_fffifo:subfifo|lpm_ff:last_data_node[2]                                                                                                                                                                                                                                                                                                                ;              ;
;                      |lpm_mux:col_data_out_mux|                                                                                                                                                                                                 ; 64 (0)      ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 9 (0)        ; 0 (0)             ; 55 (0)           ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|scfifo:q0|a_fffifo:subfifo|lpm_mux:col_data_out_mux                                                                                                                                                                                                                                                                                                                ;              ;
;                         |mux_irc:auto_generated|                                                                                                                                                                                                ; 64 (64)     ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 9 (9)        ; 0 (0)             ; 55 (55)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|scfifo:q0|a_fffifo:subfifo|lpm_mux:col_data_out_mux|mux_irc:auto_generated                                                                                                                                                                                                                                                                                         ;              ;
;                      |lpm_mux:last_row_data_out_mux|                                                                                                                                                                                            ; 32 (0)      ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 3 (0)        ; 0 (0)             ; 29 (0)           ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|scfifo:q0|a_fffifo:subfifo|lpm_mux:last_row_data_out_mux                                                                                                                                                                                                                                                                                                           ;              ;
;                         |mux_krc:auto_generated|                                                                                                                                                                                                ; 32 (32)     ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 3 (3)        ; 0 (0)             ; 29 (29)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|scfifo:q0|a_fffifo:subfifo|lpm_mux:last_row_data_out_mux|mux_krc:auto_generated                                                                                                                                                                                                                                                                                    ;              ;
;                      |lpm_mux:row_data_out_mux[0]|                                                                                                                                                                                              ; 32 (0)      ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 2 (0)        ; 0 (0)             ; 30 (0)           ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|scfifo:q0|a_fffifo:subfifo|lpm_mux:row_data_out_mux[0]                                                                                                                                                                                                                                                                                                             ;              ;
;                         |mux_lrc:auto_generated|                                                                                                                                                                                                ; 32 (32)     ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 2 (2)        ; 0 (0)             ; 30 (30)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|scfifo:q0|a_fffifo:subfifo|lpm_mux:row_data_out_mux[0]|mux_lrc:auto_generated                                                                                                                                                                                                                                                                                      ;              ;
;                |scfifo:q1|                                                                                                                                                                                                                      ; 223 (0)     ; 166 (0)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 57 (0)       ; 82 (0)            ; 84 (0)           ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|scfifo:q1                                                                                                                                                                                                                                                                                                                                                          ;              ;
;                   |a_fffifo:subfifo|                                                                                                                                                                                                            ; 223 (2)     ; 166 (0)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 57 (2)       ; 82 (0)            ; 84 (0)           ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|scfifo:q1|a_fffifo:subfifo                                                                                                                                                                                                                                                                                                                                         ;              ;
;                      |a_fefifo:fifo_state|                                                                                                                                                                                                      ; 8 (8)       ; 3 (3)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 5 (5)        ; 0 (0)             ; 3 (3)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|scfifo:q1|a_fffifo:subfifo|a_fefifo:fifo_state                                                                                                                                                                                                                                                                                                                     ;              ;
;                      |lpm_counter:rd_ptr|                                                                                                                                                                                                       ; 3 (0)       ; 3 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 3 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|scfifo:q1|a_fffifo:subfifo|lpm_counter:rd_ptr                                                                                                                                                                                                                                                                                                                      ;              ;
;                         |cntr_p9f:auto_generated|                                                                                                                                                                                               ; 3 (3)       ; 3 (3)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 3 (3)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|scfifo:q1|a_fffifo:subfifo|lpm_counter:rd_ptr|cntr_p9f:auto_generated                                                                                                                                                                                                                                                                                              ;              ;
;                      |lpm_ff:data_node[0][0]|                                                                                                                                                                                                   ; 32 (32)     ; 32 (32)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 32 (32)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|scfifo:q1|a_fffifo:subfifo|lpm_ff:data_node[0][0]                                                                                                                                                                                                                                                                                                                  ;              ;
;                      |lpm_ff:data_node[0][2]|                                                                                                                                                                                                   ; 32 (32)     ; 32 (32)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 18 (18)           ; 14 (14)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|scfifo:q1|a_fffifo:subfifo|lpm_ff:data_node[0][2]                                                                                                                                                                                                                                                                                                                  ;              ;
;                      |lpm_ff:data_node[0][3]|                                                                                                                                                                                                   ; 32 (32)     ; 32 (32)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 32 (32)           ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|scfifo:q1|a_fffifo:subfifo|lpm_ff:data_node[0][3]                                                                                                                                                                                                                                                                                                                  ;              ;
;                      |lpm_ff:last_data_node[0]|                                                                                                                                                                                                 ; 32 (32)     ; 32 (32)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 32 (32)           ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|scfifo:q1|a_fffifo:subfifo|lpm_ff:last_data_node[0]                                                                                                                                                                                                                                                                                                                ;              ;
;                      |lpm_ff:last_data_node[1]|                                                                                                                                                                                                 ; 32 (32)     ; 32 (32)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 32 (32)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|scfifo:q1|a_fffifo:subfifo|lpm_ff:last_data_node[1]                                                                                                                                                                                                                                                                                                                ;              ;
;                      |lpm_mux:col_data_out_mux|                                                                                                                                                                                                 ; 96 (0)      ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 50 (0)       ; 0 (0)             ; 46 (0)           ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|scfifo:q1|a_fffifo:subfifo|lpm_mux:col_data_out_mux                                                                                                                                                                                                                                                                                                                ;              ;
;                         |mux_irc:auto_generated|                                                                                                                                                                                                ; 96 (96)     ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 50 (50)      ; 0 (0)             ; 46 (46)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|scfifo:q1|a_fffifo:subfifo|lpm_mux:col_data_out_mux|mux_irc:auto_generated                                                                                                                                                                                                                                                                                         ;              ;
;                |scfifo:qxqyqz|                                                                                                                                                                                                                  ; 36 (0)      ; 25 (0)                    ; 0 (0)         ; 3072        ; 3    ; 0            ; 0       ; 0         ; 0    ; 0            ; 10 (0)       ; 2 (0)             ; 24 (0)           ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|scfifo:qxqyqz                                                                                                                                                                                                                                                                                                                                                      ;              ;
;                   |scfifo_gi61:auto_generated|                                                                                                                                                                                                  ; 36 (0)      ; 25 (0)                    ; 0 (0)         ; 3072        ; 3    ; 0            ; 0       ; 0         ; 0    ; 0            ; 10 (0)       ; 2 (0)             ; 24 (0)           ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|scfifo:qxqyqz|scfifo_gi61:auto_generated                                                                                                                                                                                                                                                                                                                           ;              ;
;                      |a_dpfifo_34v:dpfifo|                                                                                                                                                                                                      ; 36 (22)     ; 25 (11)                   ; 0 (0)         ; 3072        ; 3    ; 0            ; 0       ; 0         ; 0    ; 0            ; 10 (10)      ; 2 (2)             ; 24 (10)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|scfifo:qxqyqz|scfifo_gi61:auto_generated|a_dpfifo_34v:dpfifo                                                                                                                                                                                                                                                                                                       ;              ;
;                         |altsyncram_8d81:FIFOram|                                                                                                                                                                                               ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 3072        ; 3    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|scfifo:qxqyqz|scfifo_gi61:auto_generated|a_dpfifo_34v:dpfifo|altsyncram_8d81:FIFOram                                                                                                                                                                                                                                                                               ;              ;
;                         |cntr_197:usedw_counter|                                                                                                                                                                                                ; 5 (5)       ; 5 (5)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 5 (5)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|scfifo:qxqyqz|scfifo_gi61:auto_generated|a_dpfifo_34v:dpfifo|cntr_197:usedw_counter                                                                                                                                                                                                                                                                                ;              ;
;                         |cntr_k8b:rd_ptr_msb|                                                                                                                                                                                                   ; 4 (4)       ; 4 (4)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 4 (4)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|scfifo:qxqyqz|scfifo_gi61:auto_generated|a_dpfifo_34v:dpfifo|cntr_k8b:rd_ptr_msb                                                                                                                                                                                                                                                                                   ;              ;
;                         |cntr_l8b:wr_ptr|                                                                                                                                                                                                       ; 5 (5)       ; 5 (5)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 5 (5)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|ap_n_dpc:arithmetic_pipeline_and_datapath_controller|scfifo:qxqyqz|scfifo_gi61:auto_generated|a_dpfifo_34v:dpfifo|cntr_l8b:wr_ptr                                                                                                                                                                                                                                                                                       ;              ;
;             |scfifo:output_buffer|                                                                                                                                                                                                              ; 57 (0)      ; 39 (0)                    ; 0 (0)         ; 8192        ; 1    ; 0            ; 0       ; 0         ; 0    ; 0            ; 18 (0)       ; 0 (0)             ; 39 (0)           ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|scfifo:output_buffer                                                                                                                                                                                                                                                                                                                                                                                                    ;              ;
;                |scfifo_4u91:auto_generated|                                                                                                                                                                                                     ; 57 (9)      ; 39 (2)                    ; 0 (0)         ; 8192        ; 1    ; 0            ; 0       ; 0         ; 0    ; 0            ; 18 (7)       ; 0 (0)             ; 39 (2)           ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|scfifo:output_buffer|scfifo_4u91:auto_generated                                                                                                                                                                                                                                                                                                                                                                         ;              ;
;                   |a_dpfifo_qqv:dpfifo|                                                                                                                                                                                                         ; 48 (25)     ; 37 (14)                   ; 0 (0)         ; 8192        ; 1    ; 0            ; 0       ; 0         ; 0    ; 0            ; 11 (11)      ; 0 (0)             ; 37 (14)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|scfifo:output_buffer|scfifo_4u91:auto_generated|a_dpfifo_qqv:dpfifo                                                                                                                                                                                                                                                                                                                                                     ;              ;
;                      |altsyncram_ag81:FIFOram|                                                                                                                                                                                                  ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 8192        ; 1    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|scfifo:output_buffer|scfifo_4u91:auto_generated|a_dpfifo_qqv:dpfifo|altsyncram_ag81:FIFOram                                                                                                                                                                                                                                                                                                                             ;              ;
;                      |cntr_497:usedw_counter|                                                                                                                                                                                                   ; 8 (8)       ; 8 (8)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 8 (8)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|scfifo:output_buffer|scfifo_4u91:auto_generated|a_dpfifo_qqv:dpfifo|cntr_497:usedw_counter                                                                                                                                                                                                                                                                                                                              ;              ;
;                      |cntr_n8b:rd_ptr_msb|                                                                                                                                                                                                      ; 7 (7)       ; 7 (7)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 7 (7)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|scfifo:output_buffer|scfifo_4u91:auto_generated|a_dpfifo_qqv:dpfifo|cntr_n8b:rd_ptr_msb                                                                                                                                                                                                                                                                                                                                 ;              ;
;                      |cntr_o8b:wr_ptr|                                                                                                                                                                                                          ; 8 (8)       ; 8 (8)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 8 (8)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|scfifo:output_buffer|scfifo_4u91:auto_generated|a_dpfifo_qqv:dpfifo|cntr_o8b:wr_ptr                                                                                                                                                                                                                                                                                                                                     ;              ;
;             |scfifo:res|                                                                                                                                                                                                                        ; 52 (0)      ; 37 (0)                    ; 0 (0)         ; 32768       ; 4    ; 0            ; 0       ; 0         ; 0    ; 0            ; 15 (0)       ; 2 (0)             ; 35 (0)           ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|scfifo:res                                                                                                                                                                                                                                                                                                                                                                                                              ;              ;
;                |scfifo_lo61:auto_generated|                                                                                                                                                                                                     ; 52 (0)      ; 37 (0)                    ; 0 (0)         ; 32768       ; 4    ; 0            ; 0       ; 0         ; 0    ; 0            ; 15 (0)       ; 2 (0)             ; 35 (0)           ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|scfifo:res|scfifo_lo61:auto_generated                                                                                                                                                                                                                                                                                                                                                                                   ;              ;
;                   |a_dpfifo_8av:dpfifo|                                                                                                                                                                                                         ; 52 (29)     ; 37 (14)                   ; 0 (0)         ; 32768       ; 4    ; 0            ; 0       ; 0         ; 0    ; 0            ; 15 (15)      ; 2 (2)             ; 35 (12)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|scfifo:res|scfifo_lo61:auto_generated|a_dpfifo_8av:dpfifo                                                                                                                                                                                                                                                                                                                                                               ;              ;
;                      |altsyncram_mj81:FIFOram|                                                                                                                                                                                                  ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 32768       ; 4    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|scfifo:res|scfifo_lo61:auto_generated|a_dpfifo_8av:dpfifo|altsyncram_mj81:FIFOram                                                                                                                                                                                                                                                                                                                                       ;              ;
;                      |cntr_497:usedw_counter|                                                                                                                                                                                                   ; 8 (8)       ; 8 (8)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 8 (8)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|scfifo:res|scfifo_lo61:auto_generated|a_dpfifo_8av:dpfifo|cntr_497:usedw_counter                                                                                                                                                                                                                                                                                                                                        ;              ;
;                      |cntr_n8b:rd_ptr_msb|                                                                                                                                                                                                      ; 7 (7)       ; 7 (7)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 7 (7)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|scfifo:res|scfifo_lo61:auto_generated|a_dpfifo_8av:dpfifo|cntr_n8b:rd_ptr_msb                                                                                                                                                                                                                                                                                                                                           ;              ;
;                      |cntr_o8b:wr_ptr|                                                                                                                                                                                                          ; 8 (8)       ; 8 (8)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 8 (8)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0:the_raytrac_0|raytrac:raytrac_0|scfifo:res|scfifo_lo61:auto_generated|a_dpfifo_8av:dpfifo|cntr_o8b:wr_ptr                                                                                                                                                                                                                                                                                                                                               ;              ;
;       |raytrac_0_rtMaster_arbitrator:the_raytrac_0_rtMaster|                                                                                                                                                                                    ; 36 (36)     ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 4 (4)        ; 0 (0)             ; 32 (32)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0_rtMaster_arbitrator:the_raytrac_0_rtMaster                                                                                                                                                                                                                                                                                                                                                                                                              ;              ;
;       |raytrac_0_rtSlave_arbitrator:the_raytrac_0_rtSlave|                                                                                                                                                                                      ; 6 (6)       ; 1 (1)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 3 (3)        ; 1 (1)             ; 2 (2)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_0_rtSlave_arbitrator:the_raytrac_0_rtSlave                                                                                                                                                                                                                                                                                                                                                                                                                ;              ;
;       |raytrac_ddr_clock_crossing_bridge:the_raytrac_ddr_clock_crossing_bridge|                                                                                                                                                                 ; 1415 (7)    ; 1297 (4)                  ; 0 (0)         ; 32768       ; 4    ; 0            ; 0       ; 0         ; 0    ; 0            ; 118 (3)      ; 926 (1)           ; 371 (1)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_ddr_clock_crossing_bridge:the_raytrac_ddr_clock_crossing_bridge                                                                                                                                                                                                                                                                                                                                                                                           ;              ;
;          |raytrac_ddr_clock_crossing_bridge_downstream_fifo:the_downstream_fifo|                                                                                                                                                                ; 1233 (0)    ; 1163 (0)                  ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 69 (0)       ; 867 (0)           ; 297 (0)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_ddr_clock_crossing_bridge:the_raytrac_ddr_clock_crossing_bridge|raytrac_ddr_clock_crossing_bridge_downstream_fifo:the_downstream_fifo                                                                                                                                                                                                                                                                                                                     ;              ;
;             |dcfifo:downstream_fifo|                                                                                                                                                                                                            ; 1233 (0)    ; 1163 (0)                  ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 69 (0)       ; 867 (0)           ; 297 (0)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_ddr_clock_crossing_bridge:the_raytrac_ddr_clock_crossing_bridge|raytrac_ddr_clock_crossing_bridge_downstream_fifo:the_downstream_fifo|dcfifo:downstream_fifo                                                                                                                                                                                                                                                                                              ;              ;
;                |dcfifo_50g1:auto_generated|                                                                                                                                                                                                     ; 1233 (17)   ; 1163 (12)                 ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 69 (1)       ; 867 (7)           ; 297 (6)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_ddr_clock_crossing_bridge:the_raytrac_ddr_clock_crossing_bridge|raytrac_ddr_clock_crossing_bridge_downstream_fifo:the_downstream_fifo|dcfifo:downstream_fifo|dcfifo_50g1:auto_generated                                                                                                                                                                                                                                                                   ;              ;
;                   |a_graycounter_aic:wrptr_gp|                                                                                                                                                                                                  ; 7 (7)       ; 5 (5)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 0 (0)             ; 6 (6)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_ddr_clock_crossing_bridge:the_raytrac_ddr_clock_crossing_bridge|raytrac_ddr_clock_crossing_bridge_downstream_fifo:the_downstream_fifo|dcfifo:downstream_fifo|dcfifo_50g1:auto_generated|a_graycounter_aic:wrptr_gp                                                                                                                                                                                                                                        ;              ;
;                   |a_graycounter_bic:wrptr_g1p|                                                                                                                                                                                                 ; 6 (6)       ; 5 (5)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 6 (6)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_ddr_clock_crossing_bridge:the_raytrac_ddr_clock_crossing_bridge|raytrac_ddr_clock_crossing_bridge_downstream_fifo:the_downstream_fifo|dcfifo:downstream_fifo|dcfifo_50g1:auto_generated|a_graycounter_bic:wrptr_g1p                                                                                                                                                                                                                                       ;              ;
;                   |a_graycounter_f47:rdptr_g1p|                                                                                                                                                                                                 ; 14 (14)     ; 10 (10)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 3 (3)        ; 5 (5)             ; 6 (6)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_ddr_clock_crossing_bridge:the_raytrac_ddr_clock_crossing_bridge|raytrac_ddr_clock_crossing_bridge_downstream_fifo:the_downstream_fifo|dcfifo:downstream_fifo|dcfifo_50g1:auto_generated|a_graycounter_f47:rdptr_g1p                                                                                                                                                                                                                                       ;              ;
;                   |alt_synch_pipe_rkd:rs_dgwp|                                                                                                                                                                                                  ; 8 (0)       ; 8 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 6 (0)             ; 2 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_ddr_clock_crossing_bridge:the_raytrac_ddr_clock_crossing_bridge|raytrac_ddr_clock_crossing_bridge_downstream_fifo:the_downstream_fifo|dcfifo:downstream_fifo|dcfifo_50g1:auto_generated|alt_synch_pipe_rkd:rs_dgwp                                                                                                                                                                                                                                        ;              ;
;                      |dffpipe_td9:dffpipe5|                                                                                                                                                                                                     ; 8 (8)       ; 8 (8)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 6 (6)             ; 2 (2)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_ddr_clock_crossing_bridge:the_raytrac_ddr_clock_crossing_bridge|raytrac_ddr_clock_crossing_bridge_downstream_fifo:the_downstream_fifo|dcfifo:downstream_fifo|dcfifo_50g1:auto_generated|alt_synch_pipe_rkd:rs_dgwp|dffpipe_td9:dffpipe5                                                                                                                                                                                                                   ;              ;
;                   |alt_synch_pipe_skd:ws_dgrp|                                                                                                                                                                                                  ; 8 (0)       ; 8 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 6 (0)             ; 2 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_ddr_clock_crossing_bridge:the_raytrac_ddr_clock_crossing_bridge|raytrac_ddr_clock_crossing_bridge_downstream_fifo:the_downstream_fifo|dcfifo:downstream_fifo|dcfifo_50g1:auto_generated|alt_synch_pipe_skd:ws_dgrp                                                                                                                                                                                                                                        ;              ;
;                      |dffpipe_ud9:dffpipe8|                                                                                                                                                                                                     ; 8 (8)       ; 8 (8)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 6 (6)             ; 2 (2)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_ddr_clock_crossing_bridge:the_raytrac_ddr_clock_crossing_bridge|raytrac_ddr_clock_crossing_bridge_downstream_fifo:the_downstream_fifo|dcfifo:downstream_fifo|dcfifo_50g1:auto_generated|alt_synch_pipe_skd:ws_dgrp|dffpipe_ud9:dffpipe8                                                                                                                                                                                                                   ;              ;
;                   |altsyncram_d1b1:fifo_ram|                                                                                                                                                                                                    ; 1174 (872)  ; 1115 (1115)               ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 59 (1)       ; 843 (843)         ; 272 (17)         ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_ddr_clock_crossing_bridge:the_raytrac_ddr_clock_crossing_bridge|raytrac_ddr_clock_crossing_bridge_downstream_fifo:the_downstream_fifo|dcfifo:downstream_fifo|dcfifo_50g1:auto_generated|altsyncram_d1b1:fifo_ram                                                                                                                                                                                                                                          ;              ;
;                      |decode_977:address_decoder|                                                                                                                                                                                               ; 8 (8)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 7 (7)        ; 0 (0)             ; 1 (1)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_ddr_clock_crossing_bridge:the_raytrac_ddr_clock_crossing_bridge|raytrac_ddr_clock_crossing_bridge_downstream_fifo:the_downstream_fifo|dcfifo:downstream_fifo|dcfifo_50g1:auto_generated|altsyncram_d1b1:fifo_ram|decode_977:address_decoder                                                                                                                                                                                                               ;              ;
;                      |mux_i38:output_mux|                                                                                                                                                                                                       ; 305 (305)   ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 51 (51)      ; 0 (0)             ; 254 (254)        ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_ddr_clock_crossing_bridge:the_raytrac_ddr_clock_crossing_bridge|raytrac_ddr_clock_crossing_bridge_downstream_fifo:the_downstream_fifo|dcfifo:downstream_fifo|dcfifo_50g1:auto_generated|altsyncram_d1b1:fifo_ram|mux_i38:output_mux                                                                                                                                                                                                                       ;              ;
;                   |mux_a18:rdemp_eq_comp_lsb_mux|                                                                                                                                                                                               ; 3 (3)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 2 (2)        ; 0 (0)             ; 1 (1)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_ddr_clock_crossing_bridge:the_raytrac_ddr_clock_crossing_bridge|raytrac_ddr_clock_crossing_bridge_downstream_fifo:the_downstream_fifo|dcfifo:downstream_fifo|dcfifo_50g1:auto_generated|mux_a18:rdemp_eq_comp_lsb_mux                                                                                                                                                                                                                                     ;              ;
;                   |mux_a18:rdemp_eq_comp_msb_mux|                                                                                                                                                                                               ; 3 (3)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 0 (0)             ; 2 (2)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_ddr_clock_crossing_bridge:the_raytrac_ddr_clock_crossing_bridge|raytrac_ddr_clock_crossing_bridge_downstream_fifo:the_downstream_fifo|dcfifo:downstream_fifo|dcfifo_50g1:auto_generated|mux_a18:rdemp_eq_comp_msb_mux                                                                                                                                                                                                                                     ;              ;
;                   |mux_a18:wrfull_eq_comp_lsb_mux|                                                                                                                                                                                              ; 3 (3)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 2 (2)        ; 0 (0)             ; 1 (1)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_ddr_clock_crossing_bridge:the_raytrac_ddr_clock_crossing_bridge|raytrac_ddr_clock_crossing_bridge_downstream_fifo:the_downstream_fifo|dcfifo:downstream_fifo|dcfifo_50g1:auto_generated|mux_a18:wrfull_eq_comp_lsb_mux                                                                                                                                                                                                                                    ;              ;
;                   |mux_a18:wrfull_eq_comp_msb_mux|                                                                                                                                                                                              ; 3 (3)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 3 (3)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_ddr_clock_crossing_bridge:the_raytrac_ddr_clock_crossing_bridge|raytrac_ddr_clock_crossing_bridge_downstream_fifo:the_downstream_fifo|dcfifo:downstream_fifo|dcfifo_50g1:auto_generated|mux_a18:wrfull_eq_comp_msb_mux                                                                                                                                                                                                                                    ;              ;
;          |raytrac_ddr_clock_crossing_bridge_upstream_fifo:the_upstream_fifo|                                                                                                                                                                    ; 177 (0)     ; 130 (0)                   ; 0 (0)         ; 32768       ; 4    ; 0            ; 0       ; 0         ; 0    ; 0            ; 46 (0)       ; 58 (0)            ; 73 (0)           ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_ddr_clock_crossing_bridge:the_raytrac_ddr_clock_crossing_bridge|raytrac_ddr_clock_crossing_bridge_upstream_fifo:the_upstream_fifo                                                                                                                                                                                                                                                                                                                         ;              ;
;             |dcfifo:upstream_fifo|                                                                                                                                                                                                              ; 177 (0)     ; 130 (0)                   ; 0 (0)         ; 32768       ; 4    ; 0            ; 0       ; 0         ; 0    ; 0            ; 46 (0)       ; 58 (0)            ; 73 (0)           ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_ddr_clock_crossing_bridge:the_raytrac_ddr_clock_crossing_bridge|raytrac_ddr_clock_crossing_bridge_upstream_fifo:the_upstream_fifo|dcfifo:upstream_fifo                                                                                                                                                                                                                                                                                                    ;              ;
;                |dcfifo_h7g1:auto_generated|                                                                                                                                                                                                     ; 177 (30)    ; 130 (26)                  ; 0 (0)         ; 32768       ; 4    ; 0            ; 0       ; 0         ; 0    ; 0            ; 46 (2)       ; 58 (9)            ; 73 (17)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_ddr_clock_crossing_bridge:the_raytrac_ddr_clock_crossing_bridge|raytrac_ddr_clock_crossing_bridge_upstream_fifo:the_upstream_fifo|dcfifo:upstream_fifo|dcfifo_h7g1:auto_generated                                                                                                                                                                                                                                                                         ;              ;
;                   |a_graycounter_pjc:wrptr_g1p|                                                                                                                                                                                                 ; 31 (31)     ; 21 (21)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 10 (10)      ; 6 (6)             ; 15 (15)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_ddr_clock_crossing_bridge:the_raytrac_ddr_clock_crossing_bridge|raytrac_ddr_clock_crossing_bridge_upstream_fifo:the_upstream_fifo|dcfifo:upstream_fifo|dcfifo_h7g1:auto_generated|a_graycounter_pjc:wrptr_g1p                                                                                                                                                                                                                                             ;              ;
;                   |a_graycounter_qjc:wrptr_gp|                                                                                                                                                                                                  ; 30 (30)     ; 17 (17)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 12 (12)      ; 8 (8)             ; 10 (10)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_ddr_clock_crossing_bridge:the_raytrac_ddr_clock_crossing_bridge|raytrac_ddr_clock_crossing_bridge_upstream_fifo:the_upstream_fifo|dcfifo:upstream_fifo|dcfifo_h7g1:auto_generated|a_graycounter_qjc:wrptr_gp                                                                                                                                                                                                                                              ;              ;
;                   |a_graycounter_t57:rdptr_g1p|                                                                                                                                                                                                 ; 32 (32)     ; 22 (22)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 9 (9)        ; 4 (4)             ; 19 (19)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_ddr_clock_crossing_bridge:the_raytrac_ddr_clock_crossing_bridge|raytrac_ddr_clock_crossing_bridge_upstream_fifo:the_upstream_fifo|dcfifo:upstream_fifo|dcfifo_h7g1:auto_generated|a_graycounter_t57:rdptr_g1p                                                                                                                                                                                                                                             ;              ;
;                   |alt_synch_pipe_sld:rs_dgwp|                                                                                                                                                                                                  ; 22 (0)      ; 22 (0)                    ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 14 (0)            ; 8 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_ddr_clock_crossing_bridge:the_raytrac_ddr_clock_crossing_bridge|raytrac_ddr_clock_crossing_bridge_upstream_fifo:the_upstream_fifo|dcfifo:upstream_fifo|dcfifo_h7g1:auto_generated|alt_synch_pipe_sld:rs_dgwp                                                                                                                                                                                                                                              ;              ;
;                      |dffpipe_re9:dffpipe15|                                                                                                                                                                                                    ; 22 (22)     ; 22 (22)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 14 (14)           ; 8 (8)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_ddr_clock_crossing_bridge:the_raytrac_ddr_clock_crossing_bridge|raytrac_ddr_clock_crossing_bridge_upstream_fifo:the_upstream_fifo|dcfifo:upstream_fifo|dcfifo_h7g1:auto_generated|alt_synch_pipe_sld:rs_dgwp|dffpipe_re9:dffpipe15                                                                                                                                                                                                                        ;              ;
;                   |alt_synch_pipe_tld:ws_dgrp|                                                                                                                                                                                                  ; 22 (0)      ; 22 (0)                    ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 17 (0)            ; 5 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_ddr_clock_crossing_bridge:the_raytrac_ddr_clock_crossing_bridge|raytrac_ddr_clock_crossing_bridge_upstream_fifo:the_upstream_fifo|dcfifo:upstream_fifo|dcfifo_h7g1:auto_generated|alt_synch_pipe_tld:ws_dgrp                                                                                                                                                                                                                                              ;              ;
;                      |dffpipe_te9:dffpipe19|                                                                                                                                                                                                    ; 22 (22)     ; 22 (22)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 17 (17)           ; 5 (5)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_ddr_clock_crossing_bridge:the_raytrac_ddr_clock_crossing_bridge|raytrac_ddr_clock_crossing_bridge_upstream_fifo:the_upstream_fifo|dcfifo:upstream_fifo|dcfifo_h7g1:auto_generated|alt_synch_pipe_tld:ws_dgrp|dffpipe_te9:dffpipe19                                                                                                                                                                                                                        ;              ;
;                   |altsyncram_3l31:fifo_ram|                                                                                                                                                                                                    ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 32768       ; 4    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_ddr_clock_crossing_bridge:the_raytrac_ddr_clock_crossing_bridge|raytrac_ddr_clock_crossing_bridge_upstream_fifo:the_upstream_fifo|dcfifo:upstream_fifo|dcfifo_h7g1:auto_generated|altsyncram_3l31:fifo_ram                                                                                                                                                                                                                                                ;              ;
;                   |mux_a18:rdemp_eq_comp_lsb_mux|                                                                                                                                                                                               ; 9 (9)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 4 (4)        ; 0 (0)             ; 5 (5)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_ddr_clock_crossing_bridge:the_raytrac_ddr_clock_crossing_bridge|raytrac_ddr_clock_crossing_bridge_upstream_fifo:the_upstream_fifo|dcfifo:upstream_fifo|dcfifo_h7g1:auto_generated|mux_a18:rdemp_eq_comp_lsb_mux                                                                                                                                                                                                                                           ;              ;
;                   |mux_a18:rdemp_eq_comp_msb_mux|                                                                                                                                                                                               ; 7 (7)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 0 (0)             ; 6 (6)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_ddr_clock_crossing_bridge:the_raytrac_ddr_clock_crossing_bridge|raytrac_ddr_clock_crossing_bridge_upstream_fifo:the_upstream_fifo|dcfifo:upstream_fifo|dcfifo_h7g1:auto_generated|mux_a18:rdemp_eq_comp_msb_mux                                                                                                                                                                                                                                           ;              ;
;                   |mux_a18:wrfull_eq_comp_lsb_mux|                                                                                                                                                                                              ; 9 (9)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 3 (3)        ; 0 (0)             ; 6 (6)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_ddr_clock_crossing_bridge:the_raytrac_ddr_clock_crossing_bridge|raytrac_ddr_clock_crossing_bridge_upstream_fifo:the_upstream_fifo|dcfifo:upstream_fifo|dcfifo_h7g1:auto_generated|mux_a18:wrfull_eq_comp_lsb_mux                                                                                                                                                                                                                                          ;              ;
;                   |mux_a18:wrfull_eq_comp_msb_mux|                                                                                                                                                                                              ; 7 (7)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 5 (5)        ; 0 (0)             ; 2 (2)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_ddr_clock_crossing_bridge:the_raytrac_ddr_clock_crossing_bridge|raytrac_ddr_clock_crossing_bridge_upstream_fifo:the_upstream_fifo|dcfifo:upstream_fifo|dcfifo_h7g1:auto_generated|mux_a18:wrfull_eq_comp_msb_mux                                                                                                                                                                                                                                          ;              ;
;       |raytrac_ddr_clock_crossing_bridge_m1_arbitrator:the_raytrac_ddr_clock_crossing_bridge_m1|                                                                                                                                                ; 1 (1)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 1 (1)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_ddr_clock_crossing_bridge_m1_arbitrator:the_raytrac_ddr_clock_crossing_bridge_m1                                                                                                                                                                                                                                                                                                                                                                          ;              ;
;       |raytrac_ddr_clock_crossing_bridge_s1_arbitrator:the_raytrac_ddr_clock_crossing_bridge_s1|                                                                                                                                                ; 258 (8)     ; 221 (0)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 31 (2)       ; 15 (0)            ; 212 (6)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_ddr_clock_crossing_bridge_s1_arbitrator:the_raytrac_ddr_clock_crossing_bridge_s1                                                                                                                                                                                                                                                                                                                                                                          ;              ;
;          |rdv_fifo_for_cycloneIII_3c25_niosII_video_sopc_clock_3_out_to_raytrac_ddr_clock_crossing_bridge_s1_module:rdv_fifo_for_cycloneIII_3c25_niosII_video_sopc_clock_3_out_to_raytrac_ddr_clock_crossing_bridge_s1|                         ; 250 (250)   ; 221 (221)                 ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 29 (29)      ; 15 (15)           ; 206 (206)        ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_ddr_clock_crossing_bridge_s1_arbitrator:the_raytrac_ddr_clock_crossing_bridge_s1|rdv_fifo_for_cycloneIII_3c25_niosII_video_sopc_clock_3_out_to_raytrac_ddr_clock_crossing_bridge_s1_module:rdv_fifo_for_cycloneIII_3c25_niosII_video_sopc_clock_3_out_to_raytrac_ddr_clock_crossing_bridge_s1                                                                                                                                                             ;              ;
;       |raytrac_ssram_clock_crossing_bridge:the_raytrac_ssram_clock_crossing_bridge|                                                                                                                                                             ; 207 (6)     ; 169 (3)                   ; 0 (0)         ; 16912       ; 4    ; 0            ; 0       ; 0         ; 0    ; 0            ; 38 (3)       ; 73 (0)            ; 96 (1)           ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_ssram_clock_crossing_bridge:the_raytrac_ssram_clock_crossing_bridge                                                                                                                                                                                                                                                                                                                                                                                       ;              ;
;          |raytrac_ssram_clock_crossing_bridge_downstream_fifo:the_downstream_fifo|                                                                                                                                                              ; 53 (0)      ; 47 (0)                    ; 0 (0)         ; 528         ; 2    ; 0            ; 0       ; 0         ; 0    ; 0            ; 5 (0)        ; 16 (0)            ; 32 (0)           ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_ssram_clock_crossing_bridge:the_raytrac_ssram_clock_crossing_bridge|raytrac_ssram_clock_crossing_bridge_downstream_fifo:the_downstream_fifo                                                                                                                                                                                                                                                                                                               ;              ;
;             |dcfifo:downstream_fifo|                                                                                                                                                                                                            ; 53 (0)      ; 47 (0)                    ; 0 (0)         ; 528         ; 2    ; 0            ; 0       ; 0         ; 0    ; 0            ; 5 (0)        ; 16 (0)            ; 32 (0)           ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_ssram_clock_crossing_bridge:the_raytrac_ssram_clock_crossing_bridge|raytrac_ssram_clock_crossing_bridge_downstream_fifo:the_downstream_fifo|dcfifo:downstream_fifo                                                                                                                                                                                                                                                                                        ;              ;
;                |dcfifo_7tf1:auto_generated|                                                                                                                                                                                                     ; 53 (14)     ; 47 (12)                   ; 0 (0)         ; 528         ; 2    ; 0            ; 0       ; 0         ; 0    ; 0            ; 5 (1)        ; 16 (4)            ; 32 (3)           ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_ssram_clock_crossing_bridge:the_raytrac_ssram_clock_crossing_bridge|raytrac_ssram_clock_crossing_bridge_downstream_fifo:the_downstream_fifo|dcfifo:downstream_fifo|dcfifo_7tf1:auto_generated                                                                                                                                                                                                                                                             ;              ;
;                   |a_graycounter_aic:wrptr_gp|                                                                                                                                                                                                  ; 6 (6)       ; 5 (5)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 0 (0)             ; 5 (5)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_ssram_clock_crossing_bridge:the_raytrac_ssram_clock_crossing_bridge|raytrac_ssram_clock_crossing_bridge_downstream_fifo:the_downstream_fifo|dcfifo:downstream_fifo|dcfifo_7tf1:auto_generated|a_graycounter_aic:wrptr_gp                                                                                                                                                                                                                                  ;              ;
;                   |a_graycounter_bic:wrptr_g1p|                                                                                                                                                                                                 ; 6 (6)       ; 5 (5)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 6 (6)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_ssram_clock_crossing_bridge:the_raytrac_ssram_clock_crossing_bridge|raytrac_ssram_clock_crossing_bridge_downstream_fifo:the_downstream_fifo|dcfifo:downstream_fifo|dcfifo_7tf1:auto_generated|a_graycounter_bic:wrptr_g1p                                                                                                                                                                                                                                 ;              ;
;                   |a_graycounter_f47:rdptr_g1p|                                                                                                                                                                                                 ; 12 (12)     ; 9 (9)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 2 (2)        ; 2 (2)             ; 8 (8)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_ssram_clock_crossing_bridge:the_raytrac_ssram_clock_crossing_bridge|raytrac_ssram_clock_crossing_bridge_downstream_fifo:the_downstream_fifo|dcfifo:downstream_fifo|dcfifo_7tf1:auto_generated|a_graycounter_f47:rdptr_g1p                                                                                                                                                                                                                                 ;              ;
;                   |alt_synch_pipe_tkd:rs_dgwp|                                                                                                                                                                                                  ; 8 (0)       ; 8 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 6 (0)             ; 2 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_ssram_clock_crossing_bridge:the_raytrac_ssram_clock_crossing_bridge|raytrac_ssram_clock_crossing_bridge_downstream_fifo:the_downstream_fifo|dcfifo:downstream_fifo|dcfifo_7tf1:auto_generated|alt_synch_pipe_tkd:rs_dgwp                                                                                                                                                                                                                                  ;              ;
;                      |dffpipe_vd9:dffpipe6|                                                                                                                                                                                                     ; 8 (8)       ; 8 (8)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 6 (6)             ; 2 (2)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_ssram_clock_crossing_bridge:the_raytrac_ssram_clock_crossing_bridge|raytrac_ssram_clock_crossing_bridge_downstream_fifo:the_downstream_fifo|dcfifo:downstream_fifo|dcfifo_7tf1:auto_generated|alt_synch_pipe_tkd:rs_dgwp|dffpipe_vd9:dffpipe6                                                                                                                                                                                                             ;              ;
;                   |alt_synch_pipe_ukd:ws_dgrp|                                                                                                                                                                                                  ; 8 (0)       ; 8 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 4 (0)             ; 4 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_ssram_clock_crossing_bridge:the_raytrac_ssram_clock_crossing_bridge|raytrac_ssram_clock_crossing_bridge_downstream_fifo:the_downstream_fifo|dcfifo:downstream_fifo|dcfifo_7tf1:auto_generated|alt_synch_pipe_ukd:ws_dgrp                                                                                                                                                                                                                                  ;              ;
;                      |dffpipe_0e9:dffpipe9|                                                                                                                                                                                                     ; 8 (8)       ; 8 (8)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 4 (4)             ; 4 (4)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_ssram_clock_crossing_bridge:the_raytrac_ssram_clock_crossing_bridge|raytrac_ssram_clock_crossing_bridge_downstream_fifo:the_downstream_fifo|dcfifo:downstream_fifo|dcfifo_7tf1:auto_generated|alt_synch_pipe_ukd:ws_dgrp|dffpipe_0e9:dffpipe9                                                                                                                                                                                                             ;              ;
;                   |altsyncram_ti31:fifo_ram|                                                                                                                                                                                                    ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 528         ; 2    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_ssram_clock_crossing_bridge:the_raytrac_ssram_clock_crossing_bridge|raytrac_ssram_clock_crossing_bridge_downstream_fifo:the_downstream_fifo|dcfifo:downstream_fifo|dcfifo_7tf1:auto_generated|altsyncram_ti31:fifo_ram                                                                                                                                                                                                                                    ;              ;
;                   |mux_a18:rdemp_eq_comp_lsb_mux|                                                                                                                                                                                               ; 3 (3)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 3 (3)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_ssram_clock_crossing_bridge:the_raytrac_ssram_clock_crossing_bridge|raytrac_ssram_clock_crossing_bridge_downstream_fifo:the_downstream_fifo|dcfifo:downstream_fifo|dcfifo_7tf1:auto_generated|mux_a18:rdemp_eq_comp_lsb_mux                                                                                                                                                                                                                               ;              ;
;                   |mux_a18:rdemp_eq_comp_msb_mux|                                                                                                                                                                                               ; 3 (3)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 3 (3)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_ssram_clock_crossing_bridge:the_raytrac_ssram_clock_crossing_bridge|raytrac_ssram_clock_crossing_bridge_downstream_fifo:the_downstream_fifo|dcfifo:downstream_fifo|dcfifo_7tf1:auto_generated|mux_a18:rdemp_eq_comp_msb_mux                                                                                                                                                                                                                               ;              ;
;                   |mux_a18:wrfull_eq_comp_lsb_mux|                                                                                                                                                                                              ; 3 (3)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 3 (3)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_ssram_clock_crossing_bridge:the_raytrac_ssram_clock_crossing_bridge|raytrac_ssram_clock_crossing_bridge_downstream_fifo:the_downstream_fifo|dcfifo:downstream_fifo|dcfifo_7tf1:auto_generated|mux_a18:wrfull_eq_comp_lsb_mux                                                                                                                                                                                                                              ;              ;
;                   |mux_a18:wrfull_eq_comp_msb_mux|                                                                                                                                                                                              ; 3 (3)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 0 (0)             ; 2 (2)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_ssram_clock_crossing_bridge:the_raytrac_ssram_clock_crossing_bridge|raytrac_ssram_clock_crossing_bridge_downstream_fifo:the_downstream_fifo|dcfifo:downstream_fifo|dcfifo_7tf1:auto_generated|mux_a18:wrfull_eq_comp_msb_mux                                                                                                                                                                                                                              ;              ;
;          |raytrac_ssram_clock_crossing_bridge_upstream_fifo:the_upstream_fifo|                                                                                                                                                                  ; 150 (0)     ; 119 (0)                   ; 0 (0)         ; 16384       ; 2    ; 0            ; 0       ; 0         ; 0    ; 0            ; 30 (0)       ; 57 (0)            ; 63 (0)           ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_ssram_clock_crossing_bridge:the_raytrac_ssram_clock_crossing_bridge|raytrac_ssram_clock_crossing_bridge_upstream_fifo:the_upstream_fifo                                                                                                                                                                                                                                                                                                                   ;              ;
;             |dcfifo:upstream_fifo|                                                                                                                                                                                                              ; 150 (0)     ; 119 (0)                   ; 0 (0)         ; 16384       ; 2    ; 0            ; 0       ; 0         ; 0    ; 0            ; 30 (0)       ; 57 (0)            ; 63 (0)           ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_ssram_clock_crossing_bridge:the_raytrac_ssram_clock_crossing_bridge|raytrac_ssram_clock_crossing_bridge_upstream_fifo:the_upstream_fifo|dcfifo:upstream_fifo                                                                                                                                                                                                                                                                                              ;              ;
;                |dcfifo_i3g1:auto_generated|                                                                                                                                                                                                     ; 150 (24)    ; 119 (24)                  ; 0 (0)         ; 16384       ; 2    ; 0            ; 0       ; 0         ; 0    ; 0            ; 30 (1)       ; 57 (10)           ; 63 (6)           ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_ssram_clock_crossing_bridge:the_raytrac_ssram_clock_crossing_bridge|raytrac_ssram_clock_crossing_bridge_upstream_fifo:the_upstream_fifo|dcfifo:upstream_fifo|dcfifo_i3g1:auto_generated                                                                                                                                                                                                                                                                   ;              ;
;                   |a_graycounter_njc:wrptr_gp|                                                                                                                                                                                                  ; 25 (25)     ; 19 (19)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 6 (6)        ; 5 (5)             ; 14 (14)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_ssram_clock_crossing_bridge:the_raytrac_ssram_clock_crossing_bridge|raytrac_ssram_clock_crossing_bridge_upstream_fifo:the_upstream_fifo|dcfifo:upstream_fifo|dcfifo_i3g1:auto_generated|a_graycounter_njc:wrptr_gp                                                                                                                                                                                                                                        ;              ;
;                   |a_graycounter_ojc:wrptr_g1p|                                                                                                                                                                                                 ; 24 (24)     ; 18 (18)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 2 (2)        ; 2 (2)             ; 20 (20)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_ssram_clock_crossing_bridge:the_raytrac_ssram_clock_crossing_bridge|raytrac_ssram_clock_crossing_bridge_upstream_fifo:the_upstream_fifo|dcfifo:upstream_fifo|dcfifo_i3g1:auto_generated|a_graycounter_ojc:wrptr_g1p                                                                                                                                                                                                                                       ;              ;
;                   |a_graycounter_s57:rdptr_g1p|                                                                                                                                                                                                 ; 31 (31)     ; 18 (18)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 12 (12)      ; 10 (10)           ; 9 (9)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_ssram_clock_crossing_bridge:the_raytrac_ssram_clock_crossing_bridge|raytrac_ssram_clock_crossing_bridge_upstream_fifo:the_upstream_fifo|dcfifo:upstream_fifo|dcfifo_i3g1:auto_generated|a_graycounter_s57:rdptr_g1p                                                                                                                                                                                                                                       ;              ;
;                   |alt_synch_pipe_qld:rs_dgwp|                                                                                                                                                                                                  ; 20 (0)      ; 20 (0)                    ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 17 (0)            ; 3 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_ssram_clock_crossing_bridge:the_raytrac_ssram_clock_crossing_bridge|raytrac_ssram_clock_crossing_bridge_upstream_fifo:the_upstream_fifo|dcfifo:upstream_fifo|dcfifo_i3g1:auto_generated|alt_synch_pipe_qld:rs_dgwp                                                                                                                                                                                                                                        ;              ;
;                      |dffpipe_pe9:dffpipe15|                                                                                                                                                                                                    ; 20 (20)     ; 20 (20)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 17 (17)           ; 3 (3)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_ssram_clock_crossing_bridge:the_raytrac_ssram_clock_crossing_bridge|raytrac_ssram_clock_crossing_bridge_upstream_fifo:the_upstream_fifo|dcfifo:upstream_fifo|dcfifo_i3g1:auto_generated|alt_synch_pipe_qld:rs_dgwp|dffpipe_pe9:dffpipe15                                                                                                                                                                                                                  ;              ;
;                   |alt_synch_pipe_rld:ws_dgrp|                                                                                                                                                                                                  ; 20 (0)      ; 20 (0)                    ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 13 (0)            ; 7 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_ssram_clock_crossing_bridge:the_raytrac_ssram_clock_crossing_bridge|raytrac_ssram_clock_crossing_bridge_upstream_fifo:the_upstream_fifo|dcfifo:upstream_fifo|dcfifo_i3g1:auto_generated|alt_synch_pipe_rld:ws_dgrp                                                                                                                                                                                                                                        ;              ;
;                      |dffpipe_qe9:dffpipe19|                                                                                                                                                                                                    ; 20 (20)     ; 20 (20)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 13 (13)           ; 7 (7)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_ssram_clock_crossing_bridge:the_raytrac_ssram_clock_crossing_bridge|raytrac_ssram_clock_crossing_bridge_upstream_fifo:the_upstream_fifo|dcfifo:upstream_fifo|dcfifo_i3g1:auto_generated|alt_synch_pipe_rld:ws_dgrp|dffpipe_qe9:dffpipe19                                                                                                                                                                                                                  ;              ;
;                   |altsyncram_ji31:fifo_ram|                                                                                                                                                                                                    ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 16384       ; 2    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_ssram_clock_crossing_bridge:the_raytrac_ssram_clock_crossing_bridge|raytrac_ssram_clock_crossing_bridge_upstream_fifo:the_upstream_fifo|dcfifo:upstream_fifo|dcfifo_i3g1:auto_generated|altsyncram_ji31:fifo_ram                                                                                                                                                                                                                                          ;              ;
;                   |mux_a18:rdemp_eq_comp_lsb_mux|                                                                                                                                                                                               ; 7 (7)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 2 (2)        ; 0 (0)             ; 5 (5)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_ssram_clock_crossing_bridge:the_raytrac_ssram_clock_crossing_bridge|raytrac_ssram_clock_crossing_bridge_upstream_fifo:the_upstream_fifo|dcfifo:upstream_fifo|dcfifo_i3g1:auto_generated|mux_a18:rdemp_eq_comp_lsb_mux                                                                                                                                                                                                                                     ;              ;
;                   |mux_a18:rdemp_eq_comp_msb_mux|                                                                                                                                                                                               ; 7 (7)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 0 (0)             ; 6 (6)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_ssram_clock_crossing_bridge:the_raytrac_ssram_clock_crossing_bridge|raytrac_ssram_clock_crossing_bridge_upstream_fifo:the_upstream_fifo|dcfifo:upstream_fifo|dcfifo_i3g1:auto_generated|mux_a18:rdemp_eq_comp_msb_mux                                                                                                                                                                                                                                     ;              ;
;                   |mux_a18:wrfull_eq_comp_lsb_mux|                                                                                                                                                                                              ; 7 (7)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 2 (2)        ; 0 (0)             ; 5 (5)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_ssram_clock_crossing_bridge:the_raytrac_ssram_clock_crossing_bridge|raytrac_ssram_clock_crossing_bridge_upstream_fifo:the_upstream_fifo|dcfifo:upstream_fifo|dcfifo_i3g1:auto_generated|mux_a18:wrfull_eq_comp_lsb_mux                                                                                                                                                                                                                                    ;              ;
;                   |mux_a18:wrfull_eq_comp_msb_mux|                                                                                                                                                                                              ; 7 (7)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 4 (4)        ; 0 (0)             ; 3 (3)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_ssram_clock_crossing_bridge:the_raytrac_ssram_clock_crossing_bridge|raytrac_ssram_clock_crossing_bridge_upstream_fifo:the_upstream_fifo|dcfifo:upstream_fifo|dcfifo_i3g1:auto_generated|mux_a18:wrfull_eq_comp_msb_mux                                                                                                                                                                                                                                    ;              ;
;       |raytrac_ssram_clock_crossing_bridge_s1_arbitrator:the_raytrac_ssram_clock_crossing_bridge_s1|                                                                                                                                            ; 326 (22)    ; 213 (6)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 113 (16)     ; 3 (0)             ; 210 (6)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_ssram_clock_crossing_bridge_s1_arbitrator:the_raytrac_ssram_clock_crossing_bridge_s1                                                                                                                                                                                                                                                                                                                                                                      ;              ;
;          |burstcount_fifo_for_raytrac_ssram_clock_crossing_bridge_s1_module:burstcount_fifo_for_raytrac_ssram_clock_crossing_bridge_s1|                                                                                                         ; 175 (175)   ; 150 (150)                 ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 25 (25)      ; 3 (3)             ; 147 (147)        ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_ssram_clock_crossing_bridge_s1_arbitrator:the_raytrac_ssram_clock_crossing_bridge_s1|burstcount_fifo_for_raytrac_ssram_clock_crossing_bridge_s1_module:burstcount_fifo_for_raytrac_ssram_clock_crossing_bridge_s1                                                                                                                                                                                                                                         ;              ;
;          |rdv_fifo_for_raytrac_0_rtMaster_to_raytrac_ssram_clock_crossing_bridge_s1_module:rdv_fifo_for_raytrac_0_rtMaster_to_raytrac_ssram_clock_crossing_bridge_s1|                                                                           ; 129 (129)   ; 57 (57)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 72 (72)      ; 0 (0)             ; 57 (57)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|raytrac_ssram_clock_crossing_bridge_s1_arbitrator:the_raytrac_ssram_clock_crossing_bridge_s1|rdv_fifo_for_raytrac_0_rtMaster_to_raytrac_ssram_clock_crossing_bridge_s1_module:rdv_fifo_for_raytrac_0_rtMaster_to_raytrac_ssram_clock_crossing_bridge_s1                                                                                                                                                                                                           ;              ;
;       |remote_update:the_remote_update|                                                                                                                                                                                                         ; 229 (0)     ; 159 (0)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 67 (0)       ; 39 (0)            ; 123 (0)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|remote_update:the_remote_update                                                                                                                                                                                                                                                                                                                                                                                                                                   ;              ;
;          |altera_avalon_remote_update_cycloneiii:remote_update|                                                                                                                                                                                 ; 229 (73)    ; 159 (65)                  ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 67 (8)       ; 39 (32)           ; 123 (33)         ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|remote_update:the_remote_update|altera_avalon_remote_update_cycloneiii:remote_update                                                                                                                                                                                                                                                                                                                                                                              ;              ;
;             |remote_update_rmtupdt_e0l:remote_update_rmtupdt_e0l_component|                                                                                                                                                                     ; 156 (143)   ; 94 (83)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 59 (57)      ; 7 (7)             ; 90 (79)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|remote_update:the_remote_update|altera_avalon_remote_update_cycloneiii:remote_update|remote_update_rmtupdt_e0l:remote_update_rmtupdt_e0l_component                                                                                                                                                                                                                                                                                                                ;              ;
;                |lpm_counter:cntr5|                                                                                                                                                                                                              ; 7 (0)       ; 6 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (0)        ; 0 (0)             ; 6 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|remote_update:the_remote_update|altera_avalon_remote_update_cycloneiii:remote_update|remote_update_rmtupdt_e0l:remote_update_rmtupdt_e0l_component|lpm_counter:cntr5                                                                                                                                                                                                                                                                                              ;              ;
;                   |cntr_eri:auto_generated|                                                                                                                                                                                                     ; 7 (7)       ; 6 (6)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 0 (0)             ; 6 (6)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|remote_update:the_remote_update|altera_avalon_remote_update_cycloneiii:remote_update|remote_update_rmtupdt_e0l:remote_update_rmtupdt_e0l_component|lpm_counter:cntr5|cntr_eri:auto_generated                                                                                                                                                                                                                                                                      ;              ;
;                |lpm_counter:cntr6|                                                                                                                                                                                                              ; 6 (0)       ; 5 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (0)        ; 0 (0)             ; 5 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|remote_update:the_remote_update|altera_avalon_remote_update_cycloneiii:remote_update|remote_update_rmtupdt_e0l:remote_update_rmtupdt_e0l_component|lpm_counter:cntr6                                                                                                                                                                                                                                                                                              ;              ;
;                   |cntr_dri:auto_generated|                                                                                                                                                                                                     ; 6 (6)       ; 5 (5)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 0 (0)             ; 5 (5)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|remote_update:the_remote_update|altera_avalon_remote_update_cycloneiii:remote_update|remote_update_rmtupdt_e0l:remote_update_rmtupdt_e0l_component|lpm_counter:cntr6|cntr_dri:auto_generated                                                                                                                                                                                                                                                                      ;              ;
;       |remote_update_s1_arbitrator:the_remote_update_s1|                                                                                                                                                                                        ; 5 (5)       ; 3 (3)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 2 (2)        ; 0 (0)             ; 3 (3)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|remote_update_s1_arbitrator:the_remote_update_s1                                                                                                                                                                                                                                                                                                                                                                                                                  ;              ;
;       |slow_peripheral_bridge:the_slow_peripheral_bridge|                                                                                                                                                                                       ; 216 (9)     ; 182 (5)                   ; 0 (0)         ; 2832        ; 3    ; 0            ; 0       ; 0         ; 0    ; 0            ; 34 (4)       ; 65 (0)            ; 117 (2)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|slow_peripheral_bridge:the_slow_peripheral_bridge                                                                                                                                                                                                                                                                                                                                                                                                                 ;              ;
;          |slow_peripheral_bridge_downstream_fifo:the_downstream_fifo|                                                                                                                                                                           ; 77 (0)      ; 64 (0)                    ; 0 (0)         ; 784         ; 2    ; 0            ; 0       ; 0         ; 0    ; 0            ; 10 (0)       ; 27 (0)            ; 40 (0)           ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|slow_peripheral_bridge:the_slow_peripheral_bridge|slow_peripheral_bridge_downstream_fifo:the_downstream_fifo                                                                                                                                                                                                                                                                                                                                                      ;              ;
;             |dcfifo:downstream_fifo|                                                                                                                                                                                                            ; 77 (0)      ; 64 (0)                    ; 0 (0)         ; 784         ; 2    ; 0            ; 0       ; 0         ; 0    ; 0            ; 10 (0)       ; 27 (0)            ; 40 (0)           ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|slow_peripheral_bridge:the_slow_peripheral_bridge|slow_peripheral_bridge_downstream_fifo:the_downstream_fifo|dcfifo:downstream_fifo                                                                                                                                                                                                                                                                                                                               ;              ;
;                |dcfifo_cuf1:auto_generated|                                                                                                                                                                                                     ; 77 (18)     ; 64 (14)                   ; 0 (0)         ; 784         ; 2    ; 0            ; 0       ; 0         ; 0    ; 0            ; 10 (2)       ; 27 (8)            ; 40 (4)           ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|slow_peripheral_bridge:the_slow_peripheral_bridge|slow_peripheral_bridge_downstream_fifo:the_downstream_fifo|dcfifo:downstream_fifo|dcfifo_cuf1:auto_generated                                                                                                                                                                                                                                                                                                    ;              ;
;                   |a_graycounter_cic:wrptr_g1p|                                                                                                                                                                                                 ; 9 (9)       ; 8 (8)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 1 (1)             ; 7 (7)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|slow_peripheral_bridge:the_slow_peripheral_bridge|slow_peripheral_bridge_downstream_fifo:the_downstream_fifo|dcfifo:downstream_fifo|dcfifo_cuf1:auto_generated|a_graycounter_cic:wrptr_g1p                                                                                                                                                                                                                                                                        ;              ;
;                   |a_graycounter_g47:rdptr_g1p|                                                                                                                                                                                                 ; 15 (15)     ; 12 (12)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 2 (2)        ; 2 (2)             ; 11 (11)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|slow_peripheral_bridge:the_slow_peripheral_bridge|slow_peripheral_bridge_downstream_fifo:the_downstream_fifo|dcfifo:downstream_fifo|dcfifo_cuf1:auto_generated|a_graycounter_g47:rdptr_g1p                                                                                                                                                                                                                                                                        ;              ;
;                   |a_graycounter_hic:wrptr_gp|                                                                                                                                                                                                  ; 11 (11)     ; 10 (10)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 3 (3)             ; 7 (7)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|slow_peripheral_bridge:the_slow_peripheral_bridge|slow_peripheral_bridge_downstream_fifo:the_downstream_fifo|dcfifo:downstream_fifo|dcfifo_cuf1:auto_generated|a_graycounter_hic:wrptr_gp                                                                                                                                                                                                                                                                         ;              ;
;                   |alt_synch_pipe_pkd:rs_dgwp|                                                                                                                                                                                                  ; 10 (0)      ; 10 (0)                    ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 7 (0)             ; 3 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|slow_peripheral_bridge:the_slow_peripheral_bridge|slow_peripheral_bridge_downstream_fifo:the_downstream_fifo|dcfifo:downstream_fifo|dcfifo_cuf1:auto_generated|alt_synch_pipe_pkd:rs_dgwp                                                                                                                                                                                                                                                                         ;              ;
;                      |dffpipe_rd9:dffpipe6|                                                                                                                                                                                                     ; 10 (10)     ; 10 (10)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 7 (7)             ; 3 (3)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|slow_peripheral_bridge:the_slow_peripheral_bridge|slow_peripheral_bridge_downstream_fifo:the_downstream_fifo|dcfifo:downstream_fifo|dcfifo_cuf1:auto_generated|alt_synch_pipe_pkd:rs_dgwp|dffpipe_rd9:dffpipe6                                                                                                                                                                                                                                                    ;              ;
;                   |alt_synch_pipe_qkd:ws_dgrp|                                                                                                                                                                                                  ; 10 (0)      ; 10 (0)                    ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 6 (0)             ; 4 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|slow_peripheral_bridge:the_slow_peripheral_bridge|slow_peripheral_bridge_downstream_fifo:the_downstream_fifo|dcfifo:downstream_fifo|dcfifo_cuf1:auto_generated|alt_synch_pipe_qkd:ws_dgrp                                                                                                                                                                                                                                                                         ;              ;
;                      |dffpipe_sd9:dffpipe9|                                                                                                                                                                                                     ; 10 (10)     ; 10 (10)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 6 (6)             ; 4 (4)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|slow_peripheral_bridge:the_slow_peripheral_bridge|slow_peripheral_bridge_downstream_fifo:the_downstream_fifo|dcfifo:downstream_fifo|dcfifo_cuf1:auto_generated|alt_synch_pipe_qkd:ws_dgrp|dffpipe_sd9:dffpipe9                                                                                                                                                                                                                                                    ;              ;
;                   |altsyncram_ki31:fifo_ram|                                                                                                                                                                                                    ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 784         ; 2    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|slow_peripheral_bridge:the_slow_peripheral_bridge|slow_peripheral_bridge_downstream_fifo:the_downstream_fifo|dcfifo:downstream_fifo|dcfifo_cuf1:auto_generated|altsyncram_ki31:fifo_ram                                                                                                                                                                                                                                                                           ;              ;
;                   |mux_a18:rdemp_eq_comp_lsb_mux|                                                                                                                                                                                               ; 5 (5)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 0 (0)             ; 4 (4)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|slow_peripheral_bridge:the_slow_peripheral_bridge|slow_peripheral_bridge_downstream_fifo:the_downstream_fifo|dcfifo:downstream_fifo|dcfifo_cuf1:auto_generated|mux_a18:rdemp_eq_comp_lsb_mux                                                                                                                                                                                                                                                                      ;              ;
;                   |mux_a18:rdemp_eq_comp_msb_mux|                                                                                                                                                                                               ; 3 (3)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 0 (0)             ; 2 (2)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|slow_peripheral_bridge:the_slow_peripheral_bridge|slow_peripheral_bridge_downstream_fifo:the_downstream_fifo|dcfifo:downstream_fifo|dcfifo_cuf1:auto_generated|mux_a18:rdemp_eq_comp_msb_mux                                                                                                                                                                                                                                                                      ;              ;
;                   |mux_a18:wrfull_eq_comp_lsb_mux|                                                                                                                                                                                              ; 4 (4)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 4 (4)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|slow_peripheral_bridge:the_slow_peripheral_bridge|slow_peripheral_bridge_downstream_fifo:the_downstream_fifo|dcfifo:downstream_fifo|dcfifo_cuf1:auto_generated|mux_a18:wrfull_eq_comp_lsb_mux                                                                                                                                                                                                                                                                     ;              ;
;                   |mux_a18:wrfull_eq_comp_msb_mux|                                                                                                                                                                                              ; 3 (3)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 2 (2)        ; 0 (0)             ; 1 (1)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|slow_peripheral_bridge:the_slow_peripheral_bridge|slow_peripheral_bridge_downstream_fifo:the_downstream_fifo|dcfifo:downstream_fifo|dcfifo_cuf1:auto_generated|mux_a18:wrfull_eq_comp_msb_mux                                                                                                                                                                                                                                                                     ;              ;
;          |slow_peripheral_bridge_upstream_fifo:the_upstream_fifo|                                                                                                                                                                               ; 134 (0)     ; 113 (0)                   ; 0 (0)         ; 2048        ; 1    ; 0            ; 0       ; 0         ; 0    ; 0            ; 20 (0)       ; 38 (0)            ; 76 (0)           ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|slow_peripheral_bridge:the_slow_peripheral_bridge|slow_peripheral_bridge_upstream_fifo:the_upstream_fifo                                                                                                                                                                                                                                                                                                                                                          ;              ;
;             |dcfifo:upstream_fifo|                                                                                                                                                                                                              ; 134 (0)     ; 113 (0)                   ; 0 (0)         ; 2048        ; 1    ; 0            ; 0       ; 0         ; 0    ; 0            ; 20 (0)       ; 38 (0)            ; 76 (0)           ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|slow_peripheral_bridge:the_slow_peripheral_bridge|slow_peripheral_bridge_upstream_fifo:the_upstream_fifo|dcfifo:upstream_fifo                                                                                                                                                                                                                                                                                                                                     ;              ;
;                |dcfifo_u1g1:auto_generated|                                                                                                                                                                                                     ; 134 (35)    ; 113 (28)                  ; 0 (0)         ; 2048        ; 1    ; 0            ; 0       ; 0         ; 0    ; 0            ; 20 (5)       ; 38 (12)           ; 76 (7)           ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|slow_peripheral_bridge:the_slow_peripheral_bridge|slow_peripheral_bridge_upstream_fifo:the_upstream_fifo|dcfifo:upstream_fifo|dcfifo_u1g1:auto_generated                                                                                                                                                                                                                                                                                                          ;              ;
;                   |a_gray2bin_jfb:wrptr_g_gray2bin|                                                                                                                                                                                             ; 5 (5)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 2 (2)        ; 0 (0)             ; 3 (3)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|slow_peripheral_bridge:the_slow_peripheral_bridge|slow_peripheral_bridge_upstream_fifo:the_upstream_fifo|dcfifo:upstream_fifo|dcfifo_u1g1:auto_generated|a_gray2bin_jfb:wrptr_g_gray2bin                                                                                                                                                                                                                                                                          ;              ;
;                   |a_gray2bin_jfb:ws_dgrp_gray2bin|                                                                                                                                                                                             ; 6 (6)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 6 (6)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|slow_peripheral_bridge:the_slow_peripheral_bridge|slow_peripheral_bridge_upstream_fifo:the_upstream_fifo|dcfifo:upstream_fifo|dcfifo_u1g1:auto_generated|a_gray2bin_jfb:ws_dgrp_gray2bin                                                                                                                                                                                                                                                                          ;              ;
;                   |a_graycounter_dic:wrptr_gp|                                                                                                                                                                                                  ; 21 (21)     ; 13 (13)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 5 (5)        ; 4 (4)             ; 12 (12)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|slow_peripheral_bridge:the_slow_peripheral_bridge|slow_peripheral_bridge_upstream_fifo:the_upstream_fifo|dcfifo:upstream_fifo|dcfifo_u1g1:auto_generated|a_graycounter_dic:wrptr_gp                                                                                                                                                                                                                                                                               ;              ;
;                   |a_graycounter_eic:wrptr_g1p|                                                                                                                                                                                                 ; 22 (22)     ; 19 (19)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 2 (2)        ; 1 (1)             ; 19 (19)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|slow_peripheral_bridge:the_slow_peripheral_bridge|slow_peripheral_bridge_upstream_fifo:the_upstream_fifo|dcfifo:upstream_fifo|dcfifo_u1g1:auto_generated|a_graycounter_eic:wrptr_g1p                                                                                                                                                                                                                                                                              ;              ;
;                   |a_graycounter_i47:rdptr_g1p|                                                                                                                                                                                                 ; 15 (15)     ; 13 (13)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 2 (2)        ; 1 (1)             ; 12 (12)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|slow_peripheral_bridge:the_slow_peripheral_bridge|slow_peripheral_bridge_upstream_fifo:the_upstream_fifo|dcfifo:upstream_fifo|dcfifo_u1g1:auto_generated|a_graycounter_i47:rdptr_g1p                                                                                                                                                                                                                                                                              ;              ;
;                   |alt_synch_pipe_gkd:rs_dgwp|                                                                                                                                                                                                  ; 14 (0)      ; 14 (0)                    ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 9 (0)             ; 5 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|slow_peripheral_bridge:the_slow_peripheral_bridge|slow_peripheral_bridge_upstream_fifo:the_upstream_fifo|dcfifo:upstream_fifo|dcfifo_u1g1:auto_generated|alt_synch_pipe_gkd:rs_dgwp                                                                                                                                                                                                                                                                               ;              ;
;                      |dffpipe_fd9:dffpipe15|                                                                                                                                                                                                    ; 14 (14)     ; 14 (14)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 9 (9)             ; 5 (5)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|slow_peripheral_bridge:the_slow_peripheral_bridge|slow_peripheral_bridge_upstream_fifo:the_upstream_fifo|dcfifo:upstream_fifo|dcfifo_u1g1:auto_generated|alt_synch_pipe_gkd:rs_dgwp|dffpipe_fd9:dffpipe15                                                                                                                                                                                                                                                         ;              ;
;                   |alt_synch_pipe_hkd:ws_dgrp|                                                                                                                                                                                                  ; 16 (0)      ; 14 (0)                    ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 11 (0)            ; 5 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|slow_peripheral_bridge:the_slow_peripheral_bridge|slow_peripheral_bridge_upstream_fifo:the_upstream_fifo|dcfifo:upstream_fifo|dcfifo_u1g1:auto_generated|alt_synch_pipe_hkd:ws_dgrp                                                                                                                                                                                                                                                                               ;              ;
;                      |dffpipe_gd9:dffpipe19|                                                                                                                                                                                                    ; 16 (16)     ; 14 (14)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 11 (11)           ; 5 (5)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|slow_peripheral_bridge:the_slow_peripheral_bridge|slow_peripheral_bridge_upstream_fifo:the_upstream_fifo|dcfifo:upstream_fifo|dcfifo_u1g1:auto_generated|alt_synch_pipe_hkd:ws_dgrp|dffpipe_gd9:dffpipe19                                                                                                                                                                                                                                                         ;              ;
;                   |altsyncram_di31:fifo_ram|                                                                                                                                                                                                    ; 0 (0)       ; 0 (0)                     ; 0 (0)         ; 2048        ; 1    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|slow_peripheral_bridge:the_slow_peripheral_bridge|slow_peripheral_bridge_upstream_fifo:the_upstream_fifo|dcfifo:upstream_fifo|dcfifo_u1g1:auto_generated|altsyncram_di31:fifo_ram                                                                                                                                                                                                                                                                                 ;              ;
;                   |cmpr_156:rdempty_eq_comp1_lsb|                                                                                                                                                                                               ; 1 (1)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 1 (1)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|slow_peripheral_bridge:the_slow_peripheral_bridge|slow_peripheral_bridge_upstream_fifo:the_upstream_fifo|dcfifo:upstream_fifo|dcfifo_u1g1:auto_generated|cmpr_156:rdempty_eq_comp1_lsb                                                                                                                                                                                                                                                                            ;              ;
;                   |cmpr_156:wrfull_eq_comp1_lsb|                                                                                                                                                                                                ; 1 (1)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 1 (1)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|slow_peripheral_bridge:the_slow_peripheral_bridge|slow_peripheral_bridge_upstream_fifo:the_upstream_fifo|dcfifo:upstream_fifo|dcfifo_u1g1:auto_generated|cmpr_156:wrfull_eq_comp1_lsb                                                                                                                                                                                                                                                                             ;              ;
;                   |dffpipe_ed9:ws_brp|                                                                                                                                                                                                          ; 6 (6)       ; 6 (6)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 6 (6)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|slow_peripheral_bridge:the_slow_peripheral_bridge|slow_peripheral_bridge_upstream_fifo:the_upstream_fifo|dcfifo:upstream_fifo|dcfifo_u1g1:auto_generated|dffpipe_ed9:ws_brp                                                                                                                                                                                                                                                                                       ;              ;
;                   |dffpipe_ed9:ws_bwp|                                                                                                                                                                                                          ; 6 (6)       ; 6 (6)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 6 (6)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|slow_peripheral_bridge:the_slow_peripheral_bridge|slow_peripheral_bridge_upstream_fifo:the_upstream_fifo|dcfifo:upstream_fifo|dcfifo_u1g1:auto_generated|dffpipe_ed9:ws_bwp                                                                                                                                                                                                                                                                                       ;              ;
;                   |mux_a18:rdemp_eq_comp_lsb_mux|                                                                                                                                                                                               ; 6 (6)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 0 (0)             ; 5 (5)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|slow_peripheral_bridge:the_slow_peripheral_bridge|slow_peripheral_bridge_upstream_fifo:the_upstream_fifo|dcfifo:upstream_fifo|dcfifo_u1g1:auto_generated|mux_a18:rdemp_eq_comp_lsb_mux                                                                                                                                                                                                                                                                            ;              ;
;                   |mux_a18:rdemp_eq_comp_msb_mux|                                                                                                                                                                                               ; 5 (5)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 5 (5)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|slow_peripheral_bridge:the_slow_peripheral_bridge|slow_peripheral_bridge_upstream_fifo:the_upstream_fifo|dcfifo:upstream_fifo|dcfifo_u1g1:auto_generated|mux_a18:rdemp_eq_comp_msb_mux                                                                                                                                                                                                                                                                            ;              ;
;                   |mux_a18:wrfull_eq_comp_lsb_mux|                                                                                                                                                                                              ; 5 (5)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 2 (2)        ; 0 (0)             ; 3 (3)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|slow_peripheral_bridge:the_slow_peripheral_bridge|slow_peripheral_bridge_upstream_fifo:the_upstream_fifo|dcfifo:upstream_fifo|dcfifo_u1g1:auto_generated|mux_a18:wrfull_eq_comp_lsb_mux                                                                                                                                                                                                                                                                           ;              ;
;                   |mux_a18:wrfull_eq_comp_msb_mux|                                                                                                                                                                                              ; 4 (4)       ; 0 (0)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 0 (0)             ; 3 (3)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|slow_peripheral_bridge:the_slow_peripheral_bridge|slow_peripheral_bridge_upstream_fifo:the_upstream_fifo|dcfifo:upstream_fifo|dcfifo_u1g1:auto_generated|mux_a18:wrfull_eq_comp_msb_mux                                                                                                                                                                                                                                                                           ;              ;
;       |slow_peripheral_bridge_m1_arbitrator:the_slow_peripheral_bridge_m1|                                                                                                                                                                      ; 244 (244)   ; 6 (6)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 185 (185)    ; 1 (1)             ; 58 (58)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|slow_peripheral_bridge_m1_arbitrator:the_slow_peripheral_bridge_m1                                                                                                                                                                                                                                                                                                                                                                                                ;              ;
;       |slow_peripheral_bridge_s1_arbitrator:the_slow_peripheral_bridge_s1|                                                                                                                                                                      ; 177 (8)     ; 75 (0)                    ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 101 (7)      ; 0 (0)             ; 76 (1)           ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|slow_peripheral_bridge_s1_arbitrator:the_slow_peripheral_bridge_s1                                                                                                                                                                                                                                                                                                                                                                                                ;              ;
;          |rdv_fifo_for_cpu_data_master_to_slow_peripheral_bridge_s1_module:rdv_fifo_for_cpu_data_master_to_slow_peripheral_bridge_s1|                                                                                                           ; 169 (169)   ; 75 (75)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 94 (94)      ; 0 (0)             ; 75 (75)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|slow_peripheral_bridge_s1_arbitrator:the_slow_peripheral_bridge_s1|rdv_fifo_for_cpu_data_master_to_slow_peripheral_bridge_s1_module:rdv_fifo_for_cpu_data_master_to_slow_peripheral_bridge_s1                                                                                                                                                                                                                                                                     ;              ;
;       |sys_clk_timer:the_sys_clk_timer|                                                                                                                                                                                                         ; 153 (153)   ; 120 (120)                 ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 32 (32)      ; 21 (21)           ; 100 (100)        ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|sys_clk_timer:the_sys_clk_timer                                                                                                                                                                                                                                                                                                                                                                                                                                   ;              ;
;       |sys_clk_timer_s1_arbitrator:the_sys_clk_timer_s1|                                                                                                                                                                                        ; 3 (3)       ; 1 (1)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 2 (2)        ; 1 (1)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|sys_clk_timer_s1_arbitrator:the_sys_clk_timer_s1                                                                                                                                                                                                                                                                                                                                                                                                                  ;              ;
;       |sysid_control_slave_arbitrator:the_sysid_control_slave|                                                                                                                                                                                  ; 2 (2)       ; 1 (1)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 1 (1)        ; 0 (0)             ; 1 (1)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|sysid_control_slave_arbitrator:the_sysid_control_slave                                                                                                                                                                                                                                                                                                                                                                                                            ;              ;
;       |touch_panel_pen_irq_n:the_touch_panel_pen_irq_n|                                                                                                                                                                                         ; 9 (9)       ; 5 (5)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 3 (3)        ; 1 (1)             ; 5 (5)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|touch_panel_pen_irq_n:the_touch_panel_pen_irq_n                                                                                                                                                                                                                                                                                                                                                                                                                   ;              ;
;       |touch_panel_pen_irq_n_s1_arbitrator:the_touch_panel_pen_irq_n_s1|                                                                                                                                                                        ; 5 (5)       ; 1 (1)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 4 (4)        ; 0 (0)             ; 1 (1)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|touch_panel_pen_irq_n_s1_arbitrator:the_touch_panel_pen_irq_n_s1                                                                                                                                                                                                                                                                                                                                                                                                  ;              ;
;       |touch_panel_spi:the_touch_panel_spi|                                                                                                                                                                                                     ; 162 (162)   ; 124 (124)                 ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 38 (38)      ; 41 (41)           ; 83 (83)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|touch_panel_spi:the_touch_panel_spi                                                                                                                                                                                                                                                                                                                                                                                                                               ;              ;
;       |touch_panel_spi_spi_control_port_arbitrator:the_touch_panel_spi_spi_control_port|                                                                                                                                                        ; 4 (4)       ; 1 (1)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 3 (3)        ; 1 (1)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|touch_panel_spi_spi_control_port_arbitrator:the_touch_panel_spi_spi_control_port                                                                                                                                                                                                                                                                                                                                                                                  ;              ;
;       |uart1:the_uart1|                                                                                                                                                                                                                         ; 153 (0)     ; 96 (0)                    ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 57 (0)       ; 23 (0)            ; 73 (0)           ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|uart1:the_uart1                                                                                                                                                                                                                                                                                                                                                                                                                                                   ;              ;
;          |uart1_regs:the_uart1_regs|                                                                                                                                                                                                            ; 58 (58)     ; 29 (29)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 22 (22)      ; 15 (15)           ; 21 (21)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|uart1:the_uart1|uart1_regs:the_uart1_regs                                                                                                                                                                                                                                                                                                                                                                                                                         ;              ;
;          |uart1_rx:the_uart1_rx|                                                                                                                                                                                                                ; 61 (59)     ; 40 (38)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 21 (21)      ; 7 (5)             ; 33 (33)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|uart1:the_uart1|uart1_rx:the_uart1_rx                                                                                                                                                                                                                                                                                                                                                                                                                             ;              ;
;             |altera_std_synchronizer:the_altera_std_synchronizer|                                                                                                                                                                               ; 2 (2)       ; 2 (2)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 0 (0)        ; 2 (2)             ; 0 (0)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|uart1:the_uart1|uart1_rx:the_uart1_rx|altera_std_synchronizer:the_altera_std_synchronizer                                                                                                                                                                                                                                                                                                                                                                         ;              ;
;          |uart1_tx:the_uart1_tx|                                                                                                                                                                                                                ; 41 (41)     ; 27 (27)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 14 (14)      ; 1 (1)             ; 26 (26)          ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|uart1:the_uart1|uart1_tx:the_uart1_tx                                                                                                                                                                                                                                                                                                                                                                                                                             ;              ;
;       |uart1_s1_arbitrator:the_uart1_s1|                                                                                                                                                                                                        ; 4 (4)       ; 1 (1)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 3 (3)        ; 0 (0)             ; 1 (1)            ; |cycloneIII_3c25_niosII_video|cycloneIII_3c25_niosII_video_sopc:cycloneIII_3c25_niosII_video_sopc_instance|uart1_s1_arbitrator:the_uart1_s1                                                                                                                                                                                                                                                                                                                                                                                                                                  ;              ;
;    |pzdyqx:nabboc|                                                                                                                                                                                                                              ; 142 (0)     ; 73 (0)                    ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 69 (0)       ; 22 (0)            ; 51 (0)           ; |cycloneIII_3c25_niosII_video|pzdyqx:nabboc                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                  ;              ;
;       |pzdyqx_impl:pzdyqx_impl_inst|                                                                                                                                                                                                            ; 142 (14)    ; 73 (9)                    ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 69 (5)       ; 22 (6)            ; 51 (4)           ; |cycloneIII_3c25_niosII_video|pzdyqx:nabboc|pzdyqx_impl:pzdyqx_impl_inst                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                     ;              ;
;          |CJQJ5354:TWMW7206|                                                                                                                                                                                                                    ; 23 (23)     ; 14 (14)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 9 (9)        ; 0 (0)             ; 14 (14)          ; |cycloneIII_3c25_niosII_video|pzdyqx:nabboc|pzdyqx_impl:pzdyqx_impl_inst|CJQJ5354:TWMW7206                                                                                                                                                                                                                                                                                                                                                                                                                                                                                   ;              ;
;          |MDCK2395:\cycloneiii_WCRO7487_gen_0:cycloneiii_WCRO7487_gen_1|                                                                                                                                                                        ; 54 (23)     ; 28 (8)                    ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 26 (15)      ; 0 (0)             ; 28 (8)           ; |cycloneIII_3c25_niosII_video|pzdyqx:nabboc|pzdyqx_impl:pzdyqx_impl_inst|MDCK2395:\cycloneiii_WCRO7487_gen_0:cycloneiii_WCRO7487_gen_1                                                                                                                                                                                                                                                                                                                                                                                                                                       ;              ;
;             |CJQJ5354:AJQA6937|                                                                                                                                                                                                                 ; 31 (31)     ; 20 (20)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 11 (11)      ; 0 (0)             ; 20 (20)          ; |cycloneIII_3c25_niosII_video|pzdyqx:nabboc|pzdyqx_impl:pzdyqx_impl_inst|MDCK2395:\cycloneiii_WCRO7487_gen_0:cycloneiii_WCRO7487_gen_1|CJQJ5354:AJQA6937                                                                                                                                                                                                                                                                                                                                                                                                                     ;              ;
;          |PZMU7345:HHRH5434|                                                                                                                                                                                                                    ; 24 (24)     ; 13 (13)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 11 (11)      ; 10 (10)           ; 3 (3)            ; |cycloneIII_3c25_niosII_video|pzdyqx:nabboc|pzdyqx_impl:pzdyqx_impl_inst|PZMU7345:HHRH5434                                                                                                                                                                                                                                                                                                                                                                                                                                                                                   ;              ;
;          |VELJ8121:JDCF0099|                                                                                                                                                                                                                    ; 27 (27)     ; 9 (9)                     ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 18 (18)      ; 6 (6)             ; 3 (3)            ; |cycloneIII_3c25_niosII_video|pzdyqx:nabboc|pzdyqx_impl:pzdyqx_impl_inst|VELJ8121:JDCF0099                                                                                                                                                                                                                                                                                                                                                                                                                                                                                   ;              ;
;    |sld_hub:auto_hub|                                                                                                                                                                                                                           ; 250 (176)   ; 88 (59)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 162 (117)    ; 80 (54)           ; 8 (6)            ; |cycloneIII_3c25_niosII_video|sld_hub:auto_hub                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                               ;              ;
;       |sld_rom_sr:hub_info_reg|                                                                                                                                                                                                                 ; 38 (38)     ; 10 (10)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 28 (28)      ; 7 (7)             ; 3 (3)            ; |cycloneIII_3c25_niosII_video|sld_hub:auto_hub|sld_rom_sr:hub_info_reg                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                       ;              ;
;       |sld_shadow_jsm:shadow_jsm|                                                                                                                                                                                                               ; 36 (36)     ; 19 (19)                   ; 0 (0)         ; 0           ; 0    ; 0            ; 0       ; 0         ; 0    ; 0            ; 17 (17)      ; 19 (19)           ; 0 (0)            ; |cycloneIII_3c25_niosII_video|sld_hub:auto_hub|sld_shadow_jsm:shadow_jsm                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                     ;              ;
+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+--------------+
Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy.


Go to most recent revision | Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.