OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [trunk/] [fpbranch/] [unrm/] [unrm1.vhd] - Rev 247

Go to most recent revision | Compare with Previous | Blame | View Log

library ieee;
use ieee.std_logic_1164.all;
 
 
 
entity unrm1 is 
	port (
		clk,signdelta,signa,signb,zeroa,zerob	: in std_logic;
		shiftbin, shiftbout						: in std_logic_vector (4 downto 0);
		expbin,expout							: out std_logic_vector(7 downto 0);
		clk,
 

Go to most recent revision | Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.