OpenCores
URL https://opencores.org/ocsvn/rv01_riscv_core/rv01_riscv_core/trunk

Subversion Repositories rv01_riscv_core

[/] [rv01_riscv_core/] [trunk/] [VHDL/] [RV01_div_funcs_pkg.vhd] - Rev 2

Compare with Previous | Blame | View Log

-----------------------------------------------------------------
--                                                             --
-----------------------------------------------------------------
--                                                             --
-- Copyright (C) 2015 Stefano Tonello                          --
--                                                             --
-- This source file may be used and distributed without        --
-- restriction provided that this copyright statement is not   --
-- removed from the file and that any derivative work contains --
-- the original copyright notice and the associated disclaimer.--
--                                                             --
-- THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY         --
-- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED   --
-- TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS   --
-- FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR      --
-- OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,         --
-- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES    --
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE   --
-- GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR        --
-- BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF  --
-- LIABILITY, WHETHER IN  CONTRACT, STRICT LIABILITY, OR TORT  --
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT  --
-- OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE         --
-- POSSIBILITY OF SUCH DAMAGE.                                 --
--                                                             --
-----------------------------------------------------------------
 
---------------------------------------------------------------
-- Divider shifting functions
---------------------------------------------------------------
 
library IEEE;
use IEEE.std_logic_1164.all; 
use IEEE.numeric_std.all;
 
package RV01_DIV_FUNCS_PKG is
 
  function div_shift_left32(SI : unsigned;SHFT : integer range 0 to 32) return unsigned;
 
  function div_shift_right32(SI : unsigned;SHFT : integer range 0 to 32) return unsigned;
 
end package;
 
package body RV01_DIV_FUNCS_PKG is
 
  function div_shift_left32(SI : unsigned;SHFT : integer range 0 to 32) return unsigned is
    variable SO : unsigned(SI'HIGH downto SI'LOW);
  begin
    case SHFT is
      when 0  => SO := SI sll 0;
      when 1  => SO := SI sll 1;
      when 2  => SO := SI sll 2;
      when 3  => SO := SI sll 3;
      when 4  => SO := SI sll 4;
      when 5  => SO := SI sll 5;
      when 6  => SO := SI sll 6;
      when 7  => SO := SI sll 7;
      when 8  => SO := SI sll 8;
      when 9  => SO := SI sll 9;
      when 10 => SO := SI sll 10;
      when 11 => SO := SI sll 11;
      when 12 => SO := SI sll 12;
      when 13 => SO := SI sll 13;
      when 14 => SO := SI sll 14;
      when 15 => SO := SI sll 15;
      when 16 => SO := SI sll 16;
      when 17 => SO := SI sll 17;
      when 18 => SO := SI sll 18;
      when 19 => SO := SI sll 19;
      when 20 => SO := SI sll 20;
      when 21 => SO := SI sll 21;
      when 22 => SO := SI sll 22;
      when 23 => SO := SI sll 23;
      when 24 => SO := SI sll 24;
      when 25 => SO := SI sll 25;
      when 26 => SO := SI sll 26;
      when 27 => SO := SI sll 27;
      when 28 => SO := SI sll 28;
      when 29 => SO := SI sll 29;
      when 30 => SO := SI sll 30;
      when 31 => SO := SI sll 31;
      when others => SO := SI sll 32;
    end case;
    return(SO);
  end function;
 
  function div_shift_right32(SI : unsigned;SHFT : integer range 0 to 32) return unsigned is
    variable SO : unsigned(SI'HIGH downto SI'LOW);
  begin
    case SHFT is
      when 0  => SO := SI srl 0;
      when 1  => SO := SI srl 1;
      when 2  => SO := SI srl 2;
      when 3  => SO := SI srl 3;
      when 4  => SO := SI srl 4;
      when 5  => SO := SI srl 5;
      when 6  => SO := SI srl 6;
      when 7  => SO := SI srl 7;
      when 8  => SO := SI srl 8;
      when 9  => SO := SI srl 9;
      when 10 => SO := SI srl 10;
      when 11 => SO := SI srl 11;
      when 12 => SO := SI srl 12;
      when 13 => SO := SI srl 13;
      when 14 => SO := SI srl 14;
      when 15 => SO := SI srl 15;
      when 16 => SO := SI srl 16;
      when 17 => SO := SI srl 17;
      when 18 => SO := SI srl 18;
      when 19 => SO := SI srl 19;
      when 20 => SO := SI srl 20;
      when 21 => SO := SI srl 21;
      when 22 => SO := SI srl 22;
      when 23 => SO := SI srl 23;
      when 24 => SO := SI srl 24;
      when 25 => SO := SI srl 25;
      when 26 => SO := SI srl 26;
      when 27 => SO := SI srl 27;
      when 28 => SO := SI srl 28;
      when 29 => SO := SI srl 29;
      when 30 => SO := SI srl 30;
      when 31 => SO := SI srl 31;
      when others => SO := SI srl 32;
    end case;
    return(SO);
  end function;
 
end package body;
 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.