OpenCores
URL https://opencores.org/ocsvn/s1_core/s1_core/trunk

Subversion Repositories s1_core

[/] [s1_core/] [trunk/] [tools/] [bin/] [run_vcs] - Rev 26

Go to most recent revision | Compare with Previous | Blame | View Log

#!/bin/bash

cd $S1_ROOT/run/sim/vcs
ln -f -s ../../../tests/boot/mem_*.image .
./testbench 2>&1 | tee sim.log

Go to most recent revision | Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.