OpenCores
URL https://opencores.org/ocsvn/s80186/s80186/trunk

Subversion Repositories s80186

[/] [s80186/] [trunk/] [docker/] [build-all] - Rev 2

Compare with Previous | Blame | View Log

#!/bin/bash
set -e
if [ "$MIRROR" != "" ]; then
    MIRROR_ARG="--build-arg MIRROR=$MIRROR"
fi
docker build $MIRROR_ARG -t s80x86-build-quartus docker/build-quartus/
docker build $MIRROR_ARG -t s80x86-build docker/build/
docker build -t s80x86-dev docker/dev/

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.