OpenCores
URL https://opencores.org/ocsvn/sardmips/sardmips/trunk

Subversion Repositories sardmips

[/] [sardmips/] [trunk/] [source/] [cpu/] [writeback_ctrl.cpp] - Rev 4

Go to most recent revision | Compare with Previous | Blame | View Log

#include "writeback_ctrl.h"
 
void writeback_ctrl::do_writeback_ctrl()
{
	if((m_wb_IBUS.read() == SC_LOGIC_1)          || 
	   (m_wb_inst_addrl.read() == SC_LOGIC_1)    ||
	   (m_wb_syscall_exception.read() == SC_LOGIC_1)   ||
	   (m_wb_illegal_instruction.read() == SC_LOGIC_1) ||
	   (m_wb_ovf_excep.read() == SC_LOGIC_1) ||
	   (m_wb_DBUS.read() == SC_LOGIC_1)    ||
	   (m_wb_data_addrl.read() == SC_LOGIC_1)   ||
	   (m_wb_data_addrs.read() == SC_LOGIC_1)   ||
	   (m_wb_interrupt_signal.read() == SC_LOGIC_1))
	   wb_exception.write(SC_LOGIC_1);
	else
	   wb_exception.write(SC_LOGIC_0);
 
 
 
 
 
 
 
 
} 
 

Go to most recent revision | Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.