OpenCores
URL https://opencores.org/ocsvn/sc2v/sc2v/trunk

Subversion Repositories sc2v

[/] [sc2v/] [trunk/] [examples/] [delay_line.h] - Rev 36

Compare with Previous | Blame | View Log

#include "systemc.h"
 
SC_MODULE( delay_line ) {
 
  sc_in<bool>            clk;
  sc_in<bool>            reset;
  sc_in<sc_uint<8> >     num_in;
  sc_out< sc_uint<8> >   num_out;
 
  sc_signal<sc_uint<8> > pipe_0;
  sc_signal<sc_uint<8> > pipe_1;
  sc_signal<sc_uint<8> > pipe_2;
  sc_signal<sc_uint<8> > pipe_3;
 
  void registers();
 
  SC_CTOR(delay_line) {
    SC_METHOD( registers );
	  sensitive_pos( clk );
  }
};
 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.