OpenCores
URL https://opencores.org/ocsvn/sha3/sha3/trunk

Subversion Repositories sha3

[/] [sha3/] [trunk/] [high_throughput_core/] [testbench/] [simulation.do] - Rev 6

Compare with Previous | Blame | View Log

vlib work
vlog -lint ../rtl/*.v
vlog -lint *.v
vsim -novopt test_keccak
add wave -noupdate -format Logic -radix unsigned /test_keccak/clk
add wave -noupdate -format Logic -radix unsigned /test_keccak/reset
add wave -noupdate -divider input
add wave -noupdate -format Literal -radix hexadecimal /test_keccak/in
add wave -noupdate -format Literal -radix unsigned /test_keccak/byte_num
add wave -noupdate -format Literal -radix unsigned /test_keccak/in_ready
add wave -noupdate -format Literal -radix unsigned /test_keccak/is_last
add wave -noupdate -divider output
add wave -noupdate -format Literal -radix unsigned /test_keccak/ack
add wave -noupdate -format Literal -radix hexadecimal /test_keccak/out
add wave -noupdate -format Literal -radix unsigned /test_keccak/out_ready
run -all

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.