OpenCores
URL https://opencores.org/ocsvn/single-14-segment-display-driver-w-decoder/single-14-segment-display-driver-w-decoder/trunk

Subversion Repositories single-14-segment-display-driver-w-decoder

[/] [single-14-segment-display-driver-w-decoder/] [trunk/] [Project/] [Lattice_FPGA_Build/] [impl1/] [impl1.xcf] - Rev 5

Go to most recent revision | Compare with Previous | Blame | View Log

<?xml version='1.0' encoding='utf-8' ?>
<!DOCTYPE               ispXCF  SYSTEM  "IspXCF.dtd" >
<ispXCF version="3.7.0">
        <Comment></Comment>
        <Chain>
                <Comm>JTAG</Comm>
                <Device>
                        <SelectedProg value="TRUE"/>
                        <Pos>1</Pos>
                        <Vendor>Lattice</Vendor>
                        <Family>ECP5UM5G</Family>
                        <Name>LFE5UM5G-45F</Name>
                        <IDCode>0x81112043</IDCode>
                        <Package>All</Package>
                        <PON>LFE5UM5G-45F</PON>
                        <Bypass>
                                <InstrLen>8</InstrLen>
                                <InstrVal>11111111</InstrVal>
                                <BScanLen>1</BScanLen>
                                <BScanVal>0</BScanVal>
                        </Bypass>
                        <File>C:/Projects/single-14-segment-display-driver-w-decoder/Project/Lattice_FPGA_Build/impl1/DisplayDriverwDecoder_impl1.bit</File>
                        <FileTime>01/13/17 00:55:08</FileTime>
                        <JedecChecksum>N/A</JedecChecksum>
                        <Operation>Erase,Program,Verify</Operation>
                        <Option>
                                <SVFVendor>JTAG STANDARD</SVFVendor>
                                <IOState>HighZ</IOState>
                                <PreloadLength>510</PreloadLength>
                                <IOVectorData>0xFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF</IOVectorData>
                                <Usercode>0x00000000</Usercode>
                                <AccessMode>JTAG</AccessMode>
                        </Option>
                </Device>
        </Chain>
        <ProjectOptions>
                <Program>SEQUENTIAL</Program>
                <Process>ENTIRED CHAIN</Process>
                <OperationOverride>No Override</OperationOverride>
                <StartTAP>TLR</StartTAP>
                <EndTAP>TLR</EndTAP>
                <DisableCheckBoard value="TRUE"/>
                <VerifyUsercode value="FALSE"/>
                <TCKDelay>1</TCKDelay>
        </ProjectOptions>
        <CableOptions>
                <CableName>USB2</CableName>
                <PortAdd>FTUSB-0</PortAdd>
                <USBID>Lattice ECP5-5G Versa Board A Location 0000 Serial FTZ4UYQ9A</USBID>
        </CableOptions>
</ispXCF>

Go to most recent revision | Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.