OpenCores
URL https://opencores.org/ocsvn/single-14-segment-display-driver-w-decoder/single-14-segment-display-driver-w-decoder/trunk

Subversion Repositories single-14-segment-display-driver-w-decoder

[/] [single-14-segment-display-driver-w-decoder/] [trunk/] [Project/] [Sources/] [Decoding_Table/] [ROM_ASCII_Decoder/] [decoder_table_dist_rom_impl/] [decoder_table_dist_rom_impl.sbx] - Rev 9

Compare with Previous | Blame | View Log

<!DOCTYPE decoder_table_dist_rom_impl>
<lattice:project>
    <spirit:component>
        <spirit:vendor>LATTICE</spirit:vendor>
        <spirit:library>LOCAL</spirit:library>
        <spirit:name>decoder_table_dist_rom_impl</spirit:name>
        <spirit:version>1.0</spirit:version>
        <spirit:fileSets>
            <spirit:fileset>
                <spirit:name>Diamond_Synthesis</spirit:name>
                <spirit:group>synthesis</spirit:group>
            </spirit:fileset>
            <spirit:fileset>
                <spirit:name>Diamond_Simulation</spirit:name>
                <spirit:group>simulation</spirit:group>
            </spirit:fileset>
        </spirit:fileSets>
        <spirit:componentGenerators/>
        <spirit:model>
            <spirit:views/>
            <spirit:ports>
                <spirit:port>
                    <spirit:name>decoder_table_dist_rom_Address</spirit:name>
                    <spirit:displayName>decoder_table_dist_rom_Address</spirit:displayName>
                    <spirit:wire>
                        <spirit:direction>in</spirit:direction>
                        <spirit:vector>
                            <spirit:left>6</spirit:left>
                            <spirit:right>0</spirit:right>
                        </spirit:vector>
                    </spirit:wire>
                    <spirit:vendorExtensions>
                        <lattice:attributes>
                            <lattice:attribute lattice:name="exportFrom">decoder_table_dist_rom.Address</lattice:attribute>
                        </lattice:attributes>
                    </spirit:vendorExtensions>
                </spirit:port>
                <spirit:port>
                    <spirit:name>decoder_table_dist_rom_Q</spirit:name>
                    <spirit:displayName>decoder_table_dist_rom_Q</spirit:displayName>
                    <spirit:wire>
                        <spirit:direction>out</spirit:direction>
                        <spirit:vector>
                            <spirit:left>13</spirit:left>
                            <spirit:right>0</spirit:right>
                        </spirit:vector>
                    </spirit:wire>
                    <spirit:vendorExtensions>
                        <lattice:attributes>
                            <lattice:attribute lattice:name="exportFrom">decoder_table_dist_rom.Q</lattice:attribute>
                        </lattice:attributes>
                    </spirit:vendorExtensions>
                </spirit:port>
            </spirit:ports>
        </spirit:model>
        <spirit:vendorExtensions>
            <lattice:device>LFE5UM5G-45F-8BG381C</lattice:device>
            <lattice:synthesis>synplify</lattice:synthesis>
            <lattice:date>2017-01-18.00:46:05</lattice:date>
            <lattice:modified>2017-01-18.00:53:23</lattice:modified>
            <lattice:diamond>3.8.0.115.3</lattice:diamond>
            <lattice:language>VHDL</lattice:language>
            <lattice:attributes>
                <lattice:attribute lattice:name="AddComponent">true</lattice:attribute>
                <lattice:attribute lattice:name="Change4to5">false</lattice:attribute>
                <lattice:attribute lattice:name="ChangeConfig">false</lattice:attribute>
                <lattice:attribute lattice:name="ChangeConnect">true</lattice:attribute>
                <lattice:attribute lattice:name="ChangeDevice">false</lattice:attribute>
                <lattice:attribute lattice:name="ChangeLocate">false</lattice:attribute>
                <lattice:attribute lattice:name="ChangePack">false</lattice:attribute>
                <lattice:attribute lattice:name="ChangePart">false</lattice:attribute>
                <lattice:attribute lattice:name="ChangeSynthesis">false</lattice:attribute>
                <lattice:attribute lattice:name="Migrate">false</lattice:attribute>
                <lattice:attribute lattice:name="RemovedComponent">false</lattice:attribute>
            </lattice:attributes>
            <lattice:elements/>
            <lattice:lpc/>
            <lattice:groups/>
        </spirit:vendorExtensions>
    </spirit:component>
    <spirit:design>
        <spirit:vendor>LATTICE</spirit:vendor>
        <spirit:library>LOCAL</spirit:library>
        <spirit:name>decoder_table_dist_rom_impl</spirit:name>
        <spirit:version>1.0</spirit:version>
        <spirit:componentInstances>
            <spirit:componentInstance>
                <spirit:instanceName>decoder_table_dist_rom</spirit:instanceName>
                <spirit:componentRef>
                    <spirit:vendor>Lattice Semiconductor Corporation</spirit:vendor>
                    <spirit:library>LEGACY</spirit:library>
                    <spirit:name>Distributed_ROM</spirit:name>
                    <spirit:version>2.8</spirit:version>
                    <spirit:fileSets>
                        <spirit:fileset>
                            <spirit:name>Diamond_Simulation</spirit:name>
                            <spirit:group>simulation</spirit:group>
                            <spirit:file>
                                <spirit:name>./decoder_table_dist_rom/decoder_table_dist_rom.vhd</spirit:name>
                                <spirit:fileType>vhdlSource</spirit:fileType>
                            </spirit:file>
                        </spirit:fileset>
                        <spirit:fileset>
                            <spirit:name>Diamond_Synthesis</spirit:name>
                            <spirit:group>synthesis</spirit:group>
                            <spirit:file>
                                <spirit:name>./decoder_table_dist_rom/decoder_table_dist_rom.vhd</spirit:name>
                                <spirit:fileType>vhdlSource</spirit:fileType>
                            </spirit:file>
                        </spirit:fileset>
                    </spirit:fileSets>
                    <spirit:componentGenerators>
                        <spirit:componentGenerator spirit:hidden="true" spirit:scope="instance">
                            <spirit:name>Configuration</spirit:name>
                            <spirit:apiType>none</spirit:apiType>
                            <spirit:generatorExe>${sbp_path}/${instance}/generate_core.tcl</spirit:generatorExe>
                            <spirit:group>CONFIG</spirit:group>
                        </spirit:componentGenerator>
                        <spirit:componentGenerator spirit:hidden="true" spirit:scope="instance">
                            <spirit:name>CreateNGD</spirit:name>
                            <spirit:apiType>none</spirit:apiType>
                            <spirit:generatorExe>${sbp_path}/${instance}/generate_ngd.tcl</spirit:generatorExe>
                            <spirit:group>CONFIG</spirit:group>
                        </spirit:componentGenerator>
                    </spirit:componentGenerators>
                    <spirit:model>
                        <spirit:views/>
                        <spirit:ports>
                            <spirit:port>
                                <spirit:name>Address</spirit:name>
                                <spirit:displayName>Address</spirit:displayName>
                                <spirit:wire>
                                    <spirit:direction>in</spirit:direction>
                                    <spirit:vector>
                                        <spirit:left>6</spirit:left>
                                        <spirit:right>0</spirit:right>
                                    </spirit:vector>
                                </spirit:wire>
                            </spirit:port>
                            <spirit:port>
                                <spirit:name>Q</spirit:name>
                                <spirit:displayName>Q</spirit:displayName>
                                <spirit:wire>
                                    <spirit:direction>out</spirit:direction>
                                    <spirit:vector>
                                        <spirit:left>13</spirit:left>
                                        <spirit:right>0</spirit:right>
                                    </spirit:vector>
                                </spirit:wire>
                            </spirit:port>
                        </spirit:ports>
                    </spirit:model>
                    <spirit:vendorExtensions>
                        <lattice:synthesis>synplify</lattice:synthesis>
                        <lattice:modified>2017-01-18.00:53:23</lattice:modified>
                        <lattice:attributes>
                            <lattice:attribute lattice:name="AddComponent">false</lattice:attribute>
                            <lattice:attribute lattice:name="BBox">false</lattice:attribute>
                            <lattice:attribute lattice:name="Change4to5">false</lattice:attribute>
                            <lattice:attribute lattice:name="ChangeConfig">false</lattice:attribute>
                            <lattice:attribute lattice:name="ChangeConnect">false</lattice:attribute>
                            <lattice:attribute lattice:name="ChangeDevice">false</lattice:attribute>
                            <lattice:attribute lattice:name="ChangeLocate">false</lattice:attribute>
                            <lattice:attribute lattice:name="ChangePack">false</lattice:attribute>
                            <lattice:attribute lattice:name="ChangePart">false</lattice:attribute>
                            <lattice:attribute lattice:name="ChangeSynthesis">false</lattice:attribute>
                            <lattice:attribute lattice:name="CoreType">LPM</lattice:attribute>
                            <lattice:attribute lattice:name="DCU_RXREFCLK">PRIMARY</lattice:attribute>
                            <lattice:attribute lattice:name="DCU_TXREFCLK">PRIMARY</lattice:attribute>
                            <lattice:attribute lattice:name="Migrate">false</lattice:attribute>
                            <lattice:attribute lattice:name="RemovedComponent">false</lattice:attribute>
                        </lattice:attributes>
                        <lattice:elements/>
                        <lattice:lpc>
                            <lattice:lpcsection lattice:name="Device"/>
                            <lattice:lpcentry>
                                <lattice:lpckey>Family</lattice:lpckey>
                                <lattice:lpcvalue lattice:resolve="constant">ecp5um5g</lattice:lpcvalue>
                            </lattice:lpcentry>
                            <lattice:lpcentry>
                                <lattice:lpckey>OperatingCondition</lattice:lpckey>
                                <lattice:lpcvalue lattice:resolve="constant">COM</lattice:lpcvalue>
                            </lattice:lpcentry>
                            <lattice:lpcentry>
                                <lattice:lpckey>Package</lattice:lpckey>
                                <lattice:lpcvalue lattice:resolve="constant">CABGA381</lattice:lpcvalue>
                            </lattice:lpcentry>
                            <lattice:lpcentry>
                                <lattice:lpckey>PartName</lattice:lpckey>
                                <lattice:lpcvalue lattice:resolve="constant">LFE5UM5G-45F-8BG381C</lattice:lpcvalue>
                            </lattice:lpcentry>
                            <lattice:lpcentry>
                                <lattice:lpckey>PartType</lattice:lpckey>
                                <lattice:lpcvalue lattice:resolve="constant">LFE5UM5G-45F</lattice:lpcvalue>
                            </lattice:lpcentry>
                            <lattice:lpcentry>
                                <lattice:lpckey>SpeedGrade</lattice:lpckey>
                                <lattice:lpcvalue lattice:resolve="constant">8</lattice:lpcvalue>
                            </lattice:lpcentry>
                            <lattice:lpcentry>
                                <lattice:lpckey>Status</lattice:lpckey>
                                <lattice:lpcvalue lattice:resolve="constant">P</lattice:lpcvalue>
                            </lattice:lpcentry>
                            <lattice:lpcsection lattice:name="IP"/>
                            <lattice:lpcentry>
                                <lattice:lpckey>CoreName</lattice:lpckey>
                                <lattice:lpcvalue lattice:resolve="constant">Distributed_ROM</lattice:lpcvalue>
                            </lattice:lpcentry>
                            <lattice:lpcentry>
                                <lattice:lpckey>CoreRevision</lattice:lpckey>
                                <lattice:lpcvalue lattice:resolve="constant">2.8</lattice:lpcvalue>
                            </lattice:lpcentry>
                            <lattice:lpcentry>
                                <lattice:lpckey>CoreStatus</lattice:lpckey>
                                <lattice:lpcvalue lattice:resolve="constant">Demo</lattice:lpcvalue>
                            </lattice:lpcentry>
                            <lattice:lpcentry>
                                <lattice:lpckey>CoreType</lattice:lpckey>
                                <lattice:lpcvalue lattice:resolve="constant">LPM</lattice:lpcvalue>
                            </lattice:lpcentry>
                            <lattice:lpcentry>
                                <lattice:lpckey>Date</lattice:lpckey>
                                <lattice:lpcvalue lattice:resolve="constant">01/18/2017</lattice:lpcvalue>
                            </lattice:lpcentry>
                            <lattice:lpcentry>
                                <lattice:lpckey>ModuleName</lattice:lpckey>
                                <lattice:lpcvalue lattice:resolve="constant">decoder_table_dist_rom</lattice:lpcvalue>
                            </lattice:lpcentry>
                            <lattice:lpcentry>
                                <lattice:lpckey>ParameterFileVersion</lattice:lpckey>
                                <lattice:lpcvalue lattice:resolve="constant">1.0</lattice:lpcvalue>
                            </lattice:lpcentry>
                            <lattice:lpcentry>
                                <lattice:lpckey>SourceFormat</lattice:lpckey>
                                <lattice:lpcvalue lattice:resolve="constant">vhdl</lattice:lpcvalue>
                            </lattice:lpcentry>
                            <lattice:lpcentry>
                                <lattice:lpckey>Time</lattice:lpckey>
                                <lattice:lpcvalue lattice:resolve="constant">00:48:24</lattice:lpcvalue>
                            </lattice:lpcentry>
                            <lattice:lpcentry>
                                <lattice:lpckey>VendorName</lattice:lpckey>
                                <lattice:lpcvalue lattice:resolve="constant">Lattice Semiconductor Corporation</lattice:lpcvalue>
                            </lattice:lpcentry>
                            <lattice:lpcsection lattice:name="Parameters"/>
                            <lattice:lpcentry>
                                <lattice:lpckey>Addresses</lattice:lpckey>
                                <lattice:lpcvalue lattice:resolve="constant">128</lattice:lpcvalue>
                            </lattice:lpcentry>
                            <lattice:lpcentry>
                                <lattice:lpckey>Data</lattice:lpckey>
                                <lattice:lpcvalue lattice:resolve="constant">14</lattice:lpcvalue>
                            </lattice:lpcentry>
                            <lattice:lpcentry>
                                <lattice:lpckey>Destination</lattice:lpckey>
                                <lattice:lpcvalue lattice:resolve="constant">Synplicity</lattice:lpcvalue>
                            </lattice:lpcentry>
                            <lattice:lpcentry>
                                <lattice:lpckey>EDIF</lattice:lpckey>
                                <lattice:lpcvalue lattice:resolve="constant">1</lattice:lpcvalue>
                            </lattice:lpcentry>
                            <lattice:lpcentry>
                                <lattice:lpckey>Expression</lattice:lpckey>
                                <lattice:lpcvalue lattice:resolve="constant">BusA(0 to 7)</lattice:lpcvalue>
                            </lattice:lpcentry>
                            <lattice:lpcentry>
                                <lattice:lpckey>IO</lattice:lpckey>
                                <lattice:lpcvalue lattice:resolve="constant">0</lattice:lpcvalue>
                            </lattice:lpcentry>
                            <lattice:lpcentry>
                                <lattice:lpckey>LUT</lattice:lpckey>
                                <lattice:lpcvalue lattice:resolve="constant">0</lattice:lpcvalue>
                            </lattice:lpcentry>
                            <lattice:lpcentry>
                                <lattice:lpckey>MemFile</lattice:lpckey>
                                <lattice:lpcvalue lattice:resolve="constant">c:/projects/single-14-segment-display-driver-w-decoder/project/sources/decoding_table/decoder_table_init_binary.mem</lattice:lpcvalue>
                            </lattice:lpcentry>
                            <lattice:lpcentry>
                                <lattice:lpckey>MemFormat</lattice:lpckey>
                                <lattice:lpcvalue lattice:resolve="constant">bin</lattice:lpcvalue>
                            </lattice:lpcentry>
                            <lattice:lpcentry>
                                <lattice:lpckey>Order</lattice:lpckey>
                                <lattice:lpcvalue lattice:resolve="constant">Big Endian [MSB:LSB]</lattice:lpcvalue>
                            </lattice:lpcentry>
                            <lattice:lpcentry>
                                <lattice:lpckey>VHDL</lattice:lpckey>
                                <lattice:lpcvalue lattice:resolve="constant">1</lattice:lpcvalue>
                            </lattice:lpcentry>
                            <lattice:lpcentry>
                                <lattice:lpckey>Verilog</lattice:lpckey>
                                <lattice:lpcvalue lattice:resolve="constant">0</lattice:lpcvalue>
                            </lattice:lpcentry>
                            <lattice:lpcsection lattice:name="FilesGenerated"/>
                            <lattice:lpcentry>
                                <lattice:lpckey>c:/projects/single-14-segment-display-driver-w-decoder/project/sources/decoding_table/decoder_table_init_binary.mem</lattice:lpckey>
                                <lattice:lpcvalue lattice:resolve="constant">mem</lattice:lpcvalue>
                            </lattice:lpcentry>
                            <lattice:lpcsection lattice:name="Command"/>
                            <lattice:lpcentry>
                                <lattice:lpckey>cmd_line</lattice:lpckey>
                                <lattice:lpcvalue lattice:resolve="constant">-w -n decoder_table_dist_rom -lang vhdl -synth synplify -bus_exp 7 -bb -arch sa5p00g -dram -type romblk -addr_width 7 -num_words 128 -data_width 14 -outdata UNREGISTERED -memfile c:/projects/single-14-segment-display-driver-w-decoder/project/sources/decoding_table/decoder_table_init_binary.mem -memformat bin</lattice:lpcvalue>
                            </lattice:lpcentry>
                        </lattice:lpc>
                        <lattice:groups/>
                    </spirit:vendorExtensions>
                </spirit:componentRef>
            </spirit:componentInstance>
        </spirit:componentInstances>
        <spirit:adHocConnections>
            <spirit:adHocConnection>
                <spirit:name>decoder_table_dist_rom_Address</spirit:name>
                <spirit:displayName>decoder_table_dist_rom_Address</spirit:displayName>
                <spirit:internalPortReference spirit:right="0" spirit:portRef="Address" spirit:componentRef="decoder_table_dist_rom" spirit:left="6"/>
                <spirit:externalPortReference spirit:right="0" spirit:portRef="decoder_table_dist_rom_Address" spirit:left="6"/>
            </spirit:adHocConnection>
            <spirit:adHocConnection>
                <spirit:name>decoder_table_dist_rom_Address[0]</spirit:name>
                <spirit:displayName>decoder_table_dist_rom_Address[0]</spirit:displayName>
                <spirit:externalPortReference spirit:portRef="decoder_table_dist_rom_Address[0]"/>
                <spirit:internalPortReference spirit:portRef="Address[0]" spirit:componentRef="decoder_table_dist_rom"/>
            </spirit:adHocConnection>
            <spirit:adHocConnection>
                <spirit:name>decoder_table_dist_rom_Address[1]</spirit:name>
                <spirit:displayName>decoder_table_dist_rom_Address[1]</spirit:displayName>
                <spirit:externalPortReference spirit:portRef="decoder_table_dist_rom_Address[1]"/>
                <spirit:internalPortReference spirit:portRef="Address[1]" spirit:componentRef="decoder_table_dist_rom"/>
            </spirit:adHocConnection>
            <spirit:adHocConnection>
                <spirit:name>decoder_table_dist_rom_Address[2]</spirit:name>
                <spirit:displayName>decoder_table_dist_rom_Address[2]</spirit:displayName>
                <spirit:externalPortReference spirit:portRef="decoder_table_dist_rom_Address[2]"/>
                <spirit:internalPortReference spirit:portRef="Address[2]" spirit:componentRef="decoder_table_dist_rom"/>
            </spirit:adHocConnection>
            <spirit:adHocConnection>
                <spirit:name>decoder_table_dist_rom_Address[3]</spirit:name>
                <spirit:displayName>decoder_table_dist_rom_Address[3]</spirit:displayName>
                <spirit:externalPortReference spirit:portRef="decoder_table_dist_rom_Address[3]"/>
                <spirit:internalPortReference spirit:portRef="Address[3]" spirit:componentRef="decoder_table_dist_rom"/>
            </spirit:adHocConnection>
            <spirit:adHocConnection>
                <spirit:name>decoder_table_dist_rom_Address[4]</spirit:name>
                <spirit:displayName>decoder_table_dist_rom_Address[4]</spirit:displayName>
                <spirit:externalPortReference spirit:portRef="decoder_table_dist_rom_Address[4]"/>
                <spirit:internalPortReference spirit:portRef="Address[4]" spirit:componentRef="decoder_table_dist_rom"/>
            </spirit:adHocConnection>
            <spirit:adHocConnection>
                <spirit:name>decoder_table_dist_rom_Address[5]</spirit:name>
                <spirit:displayName>decoder_table_dist_rom_Address[5]</spirit:displayName>
                <spirit:externalPortReference spirit:portRef="decoder_table_dist_rom_Address[5]"/>
                <spirit:internalPortReference spirit:portRef="Address[5]" spirit:componentRef="decoder_table_dist_rom"/>
            </spirit:adHocConnection>
            <spirit:adHocConnection>
                <spirit:name>decoder_table_dist_rom_Address[6]</spirit:name>
                <spirit:displayName>decoder_table_dist_rom_Address[6]</spirit:displayName>
                <spirit:externalPortReference spirit:portRef="decoder_table_dist_rom_Address[6]"/>
                <spirit:internalPortReference spirit:portRef="Address[6]" spirit:componentRef="decoder_table_dist_rom"/>
            </spirit:adHocConnection>
            <spirit:adHocConnection>
                <spirit:name>decoder_table_dist_rom_Q</spirit:name>
                <spirit:displayName>decoder_table_dist_rom_Q</spirit:displayName>
                <spirit:internalPortReference spirit:right="0" spirit:portRef="Q" spirit:componentRef="decoder_table_dist_rom" spirit:left="13"/>
                <spirit:externalPortReference spirit:right="0" spirit:portRef="decoder_table_dist_rom_Q" spirit:left="13"/>
            </spirit:adHocConnection>
            <spirit:adHocConnection>
                <spirit:name>decoder_table_dist_rom_Q[0]</spirit:name>
                <spirit:displayName>decoder_table_dist_rom_Q[0]</spirit:displayName>
                <spirit:internalPortReference spirit:portRef="Q[0]" spirit:componentRef="decoder_table_dist_rom"/>
                <spirit:externalPortReference spirit:portRef="decoder_table_dist_rom_Q[0]"/>
            </spirit:adHocConnection>
            <spirit:adHocConnection>
                <spirit:name>decoder_table_dist_rom_Q[10]</spirit:name>
                <spirit:displayName>decoder_table_dist_rom_Q[10]</spirit:displayName>
                <spirit:internalPortReference spirit:portRef="Q[10]" spirit:componentRef="decoder_table_dist_rom"/>
                <spirit:externalPortReference spirit:portRef="decoder_table_dist_rom_Q[10]"/>
            </spirit:adHocConnection>
            <spirit:adHocConnection>
                <spirit:name>decoder_table_dist_rom_Q[11]</spirit:name>
                <spirit:displayName>decoder_table_dist_rom_Q[11]</spirit:displayName>
                <spirit:internalPortReference spirit:portRef="Q[11]" spirit:componentRef="decoder_table_dist_rom"/>
                <spirit:externalPortReference spirit:portRef="decoder_table_dist_rom_Q[11]"/>
            </spirit:adHocConnection>
            <spirit:adHocConnection>
                <spirit:name>decoder_table_dist_rom_Q[12]</spirit:name>
                <spirit:displayName>decoder_table_dist_rom_Q[12]</spirit:displayName>
                <spirit:internalPortReference spirit:portRef="Q[12]" spirit:componentRef="decoder_table_dist_rom"/>
                <spirit:externalPortReference spirit:portRef="decoder_table_dist_rom_Q[12]"/>
            </spirit:adHocConnection>
            <spirit:adHocConnection>
                <spirit:name>decoder_table_dist_rom_Q[13]</spirit:name>
                <spirit:displayName>decoder_table_dist_rom_Q[13]</spirit:displayName>
                <spirit:internalPortReference spirit:portRef="Q[13]" spirit:componentRef="decoder_table_dist_rom"/>
                <spirit:externalPortReference spirit:portRef="decoder_table_dist_rom_Q[13]"/>
            </spirit:adHocConnection>
            <spirit:adHocConnection>
                <spirit:name>decoder_table_dist_rom_Q[1]</spirit:name>
                <spirit:displayName>decoder_table_dist_rom_Q[1]</spirit:displayName>
                <spirit:internalPortReference spirit:portRef="Q[1]" spirit:componentRef="decoder_table_dist_rom"/>
                <spirit:externalPortReference spirit:portRef="decoder_table_dist_rom_Q[1]"/>
            </spirit:adHocConnection>
            <spirit:adHocConnection>
                <spirit:name>decoder_table_dist_rom_Q[2]</spirit:name>
                <spirit:displayName>decoder_table_dist_rom_Q[2]</spirit:displayName>
                <spirit:internalPortReference spirit:portRef="Q[2]" spirit:componentRef="decoder_table_dist_rom"/>
                <spirit:externalPortReference spirit:portRef="decoder_table_dist_rom_Q[2]"/>
            </spirit:adHocConnection>
            <spirit:adHocConnection>
                <spirit:name>decoder_table_dist_rom_Q[3]</spirit:name>
                <spirit:displayName>decoder_table_dist_rom_Q[3]</spirit:displayName>
                <spirit:internalPortReference spirit:portRef="Q[3]" spirit:componentRef="decoder_table_dist_rom"/>
                <spirit:externalPortReference spirit:portRef="decoder_table_dist_rom_Q[3]"/>
            </spirit:adHocConnection>
            <spirit:adHocConnection>
                <spirit:name>decoder_table_dist_rom_Q[4]</spirit:name>
                <spirit:displayName>decoder_table_dist_rom_Q[4]</spirit:displayName>
                <spirit:internalPortReference spirit:portRef="Q[4]" spirit:componentRef="decoder_table_dist_rom"/>
                <spirit:externalPortReference spirit:portRef="decoder_table_dist_rom_Q[4]"/>
            </spirit:adHocConnection>
            <spirit:adHocConnection>
                <spirit:name>decoder_table_dist_rom_Q[5]</spirit:name>
                <spirit:displayName>decoder_table_dist_rom_Q[5]</spirit:displayName>
                <spirit:internalPortReference spirit:portRef="Q[5]" spirit:componentRef="decoder_table_dist_rom"/>
                <spirit:externalPortReference spirit:portRef="decoder_table_dist_rom_Q[5]"/>
            </spirit:adHocConnection>
            <spirit:adHocConnection>
                <spirit:name>decoder_table_dist_rom_Q[6]</spirit:name>
                <spirit:displayName>decoder_table_dist_rom_Q[6]</spirit:displayName>
                <spirit:internalPortReference spirit:portRef="Q[6]" spirit:componentRef="decoder_table_dist_rom"/>
                <spirit:externalPortReference spirit:portRef="decoder_table_dist_rom_Q[6]"/>
            </spirit:adHocConnection>
            <spirit:adHocConnection>
                <spirit:name>decoder_table_dist_rom_Q[7]</spirit:name>
                <spirit:displayName>decoder_table_dist_rom_Q[7]</spirit:displayName>
                <spirit:internalPortReference spirit:portRef="Q[7]" spirit:componentRef="decoder_table_dist_rom"/>
                <spirit:externalPortReference spirit:portRef="decoder_table_dist_rom_Q[7]"/>
            </spirit:adHocConnection>
            <spirit:adHocConnection>
                <spirit:name>decoder_table_dist_rom_Q[8]</spirit:name>
                <spirit:displayName>decoder_table_dist_rom_Q[8]</spirit:displayName>
                <spirit:internalPortReference spirit:portRef="Q[8]" spirit:componentRef="decoder_table_dist_rom"/>
                <spirit:externalPortReference spirit:portRef="decoder_table_dist_rom_Q[8]"/>
            </spirit:adHocConnection>
            <spirit:adHocConnection>
                <spirit:name>decoder_table_dist_rom_Q[9]</spirit:name>
                <spirit:displayName>decoder_table_dist_rom_Q[9]</spirit:displayName>
                <spirit:internalPortReference spirit:portRef="Q[9]" spirit:componentRef="decoder_table_dist_rom"/>
                <spirit:externalPortReference spirit:portRef="decoder_table_dist_rom_Q[9]"/>
            </spirit:adHocConnection>
        </spirit:adHocConnections>
    </spirit:design>
</lattice:project>

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.