OpenCores
URL https://opencores.org/ocsvn/soc_maker/soc_maker/trunk

Subversion Repositories soc_maker

[/] [soc_maker/] [trunk/] [spec/] [test_soc_lib/] [cores/] [core_B_rel1/] [core_b.vhd] - Rev 3

Compare with Previous | Blame | View Log

library ieee;
use ieee.std_logic_1164.ALL;
 
 
 
entity core_b is
port(
   mysig_con1a : out std_logic_vector( 7 downto 0 );
   mysig_con1b : out std_logic_vector( 31 downto 0 );
   mysig_con1c : in  std_logic
);
end entity core_b;
 
architecture IMPL of core_b is
 
 
begin
 
 
   mysig_con1a <= "11001010";
   mysig_con1b <= ( others => '1' );
 
end architecture IMPL;
 
 
 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.