OpenCores
URL https://opencores.org/ocsvn/socgen/socgen/trunk

Subversion Repositories socgen

[/] [socgen/] [trunk/] [Projects/] [digilentinc.com/] [Nexys2/] [ip/] [fpga/] [rtl/] [xml/] [Nexys2_fpga_design.xml] - Rev 133

Go to most recent revision | Compare with Previous | Blame | View Log

<?xml version="1.0" encoding="utf-8"?>
<!--
//                                                                        //
// Author : John Eaton  Ouabache Designworks                              //
//                                                                        //
//   Copyright (C) 2010 Authors and OPENCORES.ORG                         //
//                                                                        //
//   This source file may be used and distributed without                 //
//   restriction provided that this copyright statement is not            //
//   removed from the file and that any derivative work contains          //
//   the original copyright notice and the associated disclaimer.         //
//                                                                        //
//   This source file is free software; you can redistribute it           //
//   and/or modify it under the terms of the GNU Lesser General           //
//   Public License as published by the Free Software Foundation;         //
//   either version 2.1 of the License, or (at your option) any           //
//   later version.                                                       //
//                                                                        //
//   This source is distributed in the hope that it will be               //
//   useful, but WITHOUT ANY WARRANTY; without even the implied           //
//   warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR              //
//   PURPOSE. See the GNU Lesser General Public License for more          //
//   details.                                                             //
//                                                                        //
//   You should have received a copy of the GNU Lesser General            //
//   Public License along with this source; if not, download it           //
//   from http://www.opencores.org/lgpl.shtml                             //
//                                                                        //
-->
<spirit:design 
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
<spirit:vendor>digilentinc.com</spirit:vendor>
<spirit:library>Nexys2</spirit:library>
<spirit:name>fpga</spirit:name>
<spirit:version>design</spirit:version>  




  <spirit:adHocConnections>
    <spirit:adHocConnection>
      <spirit:name>clk</spirit:name>
      <spirit:externalPortReference spirit:portRef="clk"/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="clk"/>
      <spirit:internalPortReference spirit:componentRef="clock_sys" spirit:portRef="div_clk_out"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>reset</spirit:name>
      <spirit:externalPortReference spirit:portRef="reset"/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="reset"/>
      <spirit:internalPortReference spirit:componentRef="clock_sys" spirit:portRef="reset"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>one_usec</spirit:name>
      <spirit:externalPortReference spirit:portRef="one_usec"/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="one_usec"/>
      <spirit:internalPortReference spirit:componentRef="clock_sys" spirit:portRef="one_usec"/>
    </spirit:adHocConnection>


    <spirit:adHocConnection>
      <spirit:name>jtag_capture_dr</spirit:name>
      <spirit:externalPortReference spirit:portRef="jtag_capture_dr"/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="jtag_capture_dr"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>jtag_select</spirit:name>
      <spirit:externalPortReference spirit:portRef="jtag_select"  />
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="jtag_select"/>
    </spirit:adHocConnection>



    <spirit:adHocConnection>
      <spirit:name>jtag_shift_dr</spirit:name>
      <spirit:externalPortReference spirit:portRef="jtag_shift_dr"/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="jtag_shift_dr"/>
    </spirit:adHocConnection>



    <spirit:adHocConnection>
      <spirit:name>jtag_shiftcapture_dr_clk</spirit:name>
      <spirit:externalPortReference spirit:portRef="jtag_shiftcapture_dr_clk"    />
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="jtag_shiftcapture_dr_clk"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>jtag_tdi</spirit:name>
      <spirit:externalPortReference spirit:portRef="jtag_tdi"/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="jtag_tdi"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>jtag_tdo</spirit:name>
      <spirit:externalPortReference spirit:portRef="jtag_tdo"    />
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="jtag_tdo"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>jtag_test_logic_reset</spirit:name>
      <spirit:externalPortReference spirit:portRef="jtag_test_logic_reset"/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="jtag_test_logic_reset"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>jtag_update_dr_clk</spirit:name>
      <spirit:externalPortReference spirit:portRef="jtag_update_dr_clk"/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="jtag_update_dr_clk"/>
    </spirit:adHocConnection>






    <spirit:adHocConnection>
      <spirit:name>aux_jtag_capture_dr</spirit:name>
      <spirit:externalPortReference spirit:portRef="aux_jtag_capture_dr"/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="aux_jtag_capture_dr"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>aux_jtag_select</spirit:name>
      <spirit:externalPortReference spirit:portRef="aux_jtag_select"  />
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="aux_jtag_select"/>
    </spirit:adHocConnection>



    <spirit:adHocConnection>
      <spirit:name>aux_jtag_shift_dr</spirit:name>
      <spirit:externalPortReference spirit:portRef="aux_jtag_shift_dr"/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="aux_jtag_shift_dr"/>
    </spirit:adHocConnection>



    <spirit:adHocConnection>
      <spirit:name>aux_jtag_shiftcapture_dr_clk</spirit:name>
      <spirit:externalPortReference spirit:portRef="aux_jtag_shiftcapture_dr_clk"    />
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="aux_jtag_shiftcapture_dr_clk"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>aux_jtag_tdi</spirit:name>
      <spirit:externalPortReference spirit:portRef="aux_jtag_tdi"/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="aux_jtag_tdi"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>aux_jtag_tdo</spirit:name>
      <spirit:externalPortReference spirit:portRef="aux_jtag_tdo"    />
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="aux_jtag_tdo"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>aux_jtag_test_logic_reset</spirit:name>
      <spirit:externalPortReference spirit:portRef="aux_jtag_test_logic_reset"/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="aux_jtag_test_logic_reset"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>aux_jtag_update_dr_clk</spirit:name>
      <spirit:externalPortReference spirit:portRef="aux_jtag_update_dr_clk"/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="aux_jtag_update_dr_clk"/>
    </spirit:adHocConnection>









    <spirit:adHocConnection>
      <spirit:name>a_clk_pad_in</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="clock_sys" spirit:portRef="a_clk_pad_in"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>b_clk_pad_in</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="clock_sys" spirit:portRef="b_clk_pad_in"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>uart_cts_pad_in</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="clock_sys" spirit:portRef="pwron_pad_in"/>
    </spirit:adHocConnection>



    <spirit:adHocConnection>
      <spirit:name>memadr_out</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="memadr_out"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>memdb_out</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="memdb_out"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>memdb_in</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="memdb_in"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>memdb_oe</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="memdb_oe"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>ramclk_out</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="ramclk_out"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>ramcre_out</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="ramcre_out"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>memoe_n_out</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="memoe_n_out"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>ramcs_n_out</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="ramcs_n_out"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>ramlb_n_out</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="ramlb_n_out"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>flashcs_n_out</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="flashcs_n_out"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>flashrp_n_out</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="flashrp_n_out"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>flashststs_in</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="flashststs_in"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>ramwait_in</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="ramwait_in"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>ramadv_n_out</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="ramadv_n_out"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>ramub_n_out</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="ramub_n_out"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>memwr_n_out</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="memwr_n_out"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>ps2_data_pad_in</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="ps2_data_pad_in"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>ps2_data_pad_oe</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="ps2_data_pad_oe"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>ps2_clk_pad_in</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="ps2_clk_pad_in"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>ps2_clk_pad_oe</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="ps2_clk_pad_oe"/>
    </spirit:adHocConnection>



    <spirit:adHocConnection>
      <spirit:name>uart_rxd_pad_in</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="uart_rxd_pad_in"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>uart_rts_pad_out</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="rts_pad_out"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>uart_cts_pad_in</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="cts_pad_in"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>uart_txd_pad_out</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="uart_txd_pad_out"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>vga_red_pad_out</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="vga_red_pad_out"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>vga_green_pad_out</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="vga_green_pad_out"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>vga_blue_pad_out</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="vga_blue_pad_out"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>vga_hsync_n_pad_out</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="vga_hsync_n_pad_out"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>vga_vsync_n_pad_out</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="vga_vsync_n_pad_out"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>btn_pad_in</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="btn_pad_in"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>sw_pad_in</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="sw_pad_in"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>led_pad_out</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="led_pad_out"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>seg_pad_out</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="seg_pad_out"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>an_pad_out</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="an_pad_out"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>dp_pad_out</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="dp_pad_out"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>eppastb_in</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="eppastb_in"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>eppdstb_in</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="eppdstb_in"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>usbflag_in</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="usbflag_in"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>eppwait_out</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="eppwait_out"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>eppwait_in</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="eppwait_in"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>eppwait_oe</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="eppwait_oe"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>usbwr_out</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="usbwr_out"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>usbwr_oe</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="usbwr_oe"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>usbwr_in</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="usbwr_in"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>usbmode_out</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="usbmode_out"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>usbmode_oe</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="usbmode_oe"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>usbmode_in</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="usbmode_in"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>usboe_out</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="usboe_out"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>usboe_oe</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="usboe_oe"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>usboe_in</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="usboe_in"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>usbadr_out</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="usbadr_out"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>usbadr_oe</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="usbadr_oe"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>usbadr_in</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="usbadr_in"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>usbpktend_out</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="usbpktend_out"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>usbpktend_oe</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="usbpktend_oe"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>usbpktend_in</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="usbpktend_in"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>usbdir_out</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="usbdir_out"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>usbdir_oe</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="usbdir_oe"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>usbdir_in</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="usbdir_in"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>eppdb_in</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="eppdb_in"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>eppdb_out</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="eppdb_out"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>eppdb_oe</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="eppdb_oe"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>eppwr_in</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="eppwr_in"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>usbclk_out</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="usbclk_out"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>usbclk_oe</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="usbclk_oe"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>usbclk_in</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="usbclk_in"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>usbrdy_in</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="usbrdy_in"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>ja_1_pad_out</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="ja_1_pad_out"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>ja_2_pad_out</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="ja_2_pad_out"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>ja_3_pad_out</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="ja_3_pad_out"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>ja_4_pad_out</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="ja_4_pad_out"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>ja_7_pad_out</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="ja_7_pad_out"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>ja_8_pad_out</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="ja_8_pad_out"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>ja_9_pad_out</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="ja_9_pad_out"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>ja_10_pad_out</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="ja_10_pad_out"/>
    </spirit:adHocConnection>


    <spirit:adHocConnection>
      <spirit:name>jb_1_pad_out</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="jb_1_pad_out"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>jb_2_pad_out</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="jb_2_pad_out"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>jb_3_pad_out</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="jb_3_pad_out"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>jb_4_pad_out</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="jb_4_pad_out"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>jb_7_pad_out</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="jb_7_pad_out"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>jb_8_pad_out</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="jb_8_pad_out"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>jb_9_pad_out</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="jb_9_pad_out"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>jb_10_pad_out</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="jb_10_pad_out"/>
    </spirit:adHocConnection>


    <spirit:adHocConnection>
      <spirit:name>jc_1_pad_out</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="jc_1_pad_out"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>jc_2_pad_out</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="jc_2_pad_out"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>jc_3_pad_out</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="jc_3_pad_out"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>jc_4_pad_out</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="jc_4_pad_out"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>jc_7_pad_out</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="jc_7_pad_out"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>jc_8_pad_out</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="jc_8_pad_out"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>jc_9_pad_out</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="jc_9_pad_out"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>jc_10_pad_out</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="jc_10_pad_out"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>rs_tx_pad_out</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="rs_tx_pad_out"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>rs_rx_pad_in</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="rs_rx_pad_in"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>pio_in</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="pio_in"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>pio_out</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="pio_out"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>pio_oe</spirit:name>
      <spirit:externalPortReference spirit:portRef=""/>
      <spirit:internalPortReference spirit:componentRef="core" spirit:portRef="pio_oe"/>
    </spirit:adHocConnection>

  </spirit:adHocConnections>




 <spirit:interconnections>




    <spirit:interconnection>
      <spirit:name>A_CLK</spirit:name>
       <spirit:activeInterface spirit:componentRef="a_clk_pad" spirit:busRef="pad_ring">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>PAD_in</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>A_CLK</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>



    <spirit:interconnection>
      <spirit:name>B_CLK</spirit:name>
       <spirit:activeInterface spirit:componentRef="b_clk_pad" spirit:busRef="pad_ring">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>PAD_in</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>B_CLK</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>




    <spirit:interconnection>
      <spirit:name>SW</spirit:name>
       <spirit:activeInterface spirit:componentRef="sw_pad" spirit:busRef="pad_ring">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>PAD_in</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>SW</spirit:name>
          <spirit:wire><spirit:vector><spirit:left>7</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>




    <spirit:interconnection>
      <spirit:name>BTN</spirit:name>
       <spirit:activeInterface spirit:componentRef="btn_pad" spirit:busRef="pad_ring">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>PAD_in</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>BTN</spirit:name>
          <spirit:wire><spirit:vector><spirit:left>3</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>




    </spirit:interconnection>



    <spirit:interconnection>
      <spirit:name>LED</spirit:name>
       <spirit:activeInterface spirit:componentRef="led_pad" spirit:busRef="pad_ring">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>PAD_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>LED</spirit:name>
          <spirit:wire><spirit:vector><spirit:left>7</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>


    <spirit:interconnection>
      <spirit:name>SEG</spirit:name>
       <spirit:activeInterface spirit:componentRef="seg_pad" spirit:busRef="pad_ring">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>PAD_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>SEG</spirit:name>
          <spirit:wire><spirit:vector><spirit:left>6</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>




    <spirit:interconnection>
      <spirit:name>DP</spirit:name>
       <spirit:activeInterface spirit:componentRef="dp_pad" spirit:busRef="pad_ring">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>PAD_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>DP</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>







    <spirit:interconnection>
      <spirit:name>AN</spirit:name>
       <spirit:activeInterface spirit:componentRef="an_pad" spirit:busRef="pad_ring">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>PAD_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>AN</spirit:name>
          <spirit:wire><spirit:vector><spirit:left>3</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>




    <spirit:interconnection>
      <spirit:name>VGARED</spirit:name>
       <spirit:activeInterface spirit:componentRef="vga_red_pad" spirit:busRef="pad_ring">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>PAD_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>VGARED</spirit:name>
          <spirit:wire><spirit:vector><spirit:left>2</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>


    <spirit:interconnection>
      <spirit:name>VGAGREEN</spirit:name>
       <spirit:activeInterface spirit:componentRef="vga_green_pad" spirit:busRef="pad_ring">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>PAD_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>VGAGREEN</spirit:name>
          <spirit:wire><spirit:vector><spirit:left>2</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>



    <spirit:interconnection>
      <spirit:name>VGABLUE</spirit:name>
       <spirit:activeInterface spirit:componentRef="vga_blue_pad" spirit:busRef="pad_ring">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>PAD_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>VGABLUE</spirit:name>
          <spirit:wire><spirit:vector><spirit:left>1</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>




    <spirit:interconnection>
      <spirit:name>HSYNC_N</spirit:name>
       <spirit:activeInterface spirit:componentRef="vga_hsync_n_pad" spirit:busRef="pad_ring">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>PAD_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>HSYNC_N</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>


    <spirit:interconnection>
      <spirit:name>VSYNC_N</spirit:name>
       <spirit:activeInterface spirit:componentRef="vga_vsync_n_pad" spirit:busRef="pad_ring">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>PAD_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>VSYNC_N</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>




    <spirit:interconnection>
      <spirit:name>PS2C</spirit:name>
       <spirit:activeInterface spirit:componentRef="ps2_clk_pad" spirit:busRef="pad_ring">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>PAD_io</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>PS2C</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>


    <spirit:interconnection>
      <spirit:name>PS2D</spirit:name>
       <spirit:activeInterface spirit:componentRef="ps2_data_pad" spirit:busRef="pad_ring">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>PAD_io</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>PS2D</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>




    <spirit:interconnection>
      <spirit:name>RTS</spirit:name>
       <spirit:activeInterface spirit:componentRef="rts_pad" spirit:busRef="pad_ring">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>PAD_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>RTS</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>

    <spirit:interconnection>
      <spirit:name>TXD</spirit:name>
       <spirit:activeInterface spirit:componentRef="txd_pad" spirit:busRef="pad_ring">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>PAD_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>TXD</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>


    <spirit:interconnection>
      <spirit:name>CTS</spirit:name>
       <spirit:activeInterface spirit:componentRef="cts_pad" spirit:busRef="pad_ring">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>PAD_in</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>CTS</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>


    <spirit:interconnection>
      <spirit:name>RXD</spirit:name>
       <spirit:activeInterface spirit:componentRef="rxd_pad" spirit:busRef="pad_ring">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>PAD_in</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>RXD</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>


    <spirit:interconnection>
      <spirit:name>RS_RX</spirit:name>
       <spirit:activeInterface spirit:componentRef="rs_rx_pad" spirit:busRef="pad_ring">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>PAD_in</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>RS_RX</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>


    <spirit:interconnection>
      <spirit:name>RS_TX</spirit:name>
       <spirit:activeInterface spirit:componentRef="rs_tx_pad" spirit:busRef="pad_ring">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>PAD_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>RS_TX</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>




    <spirit:interconnection>
      <spirit:name>JA_1</spirit:name>
       <spirit:activeInterface spirit:componentRef="ja_1_pad" spirit:busRef="pad_ring">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>PAD_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>JA_1</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>

    <spirit:interconnection>
      <spirit:name>JA_2</spirit:name>
       <spirit:activeInterface spirit:componentRef="ja_2_pad" spirit:busRef="pad_ring">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>PAD_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>JA_2</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>

    <spirit:interconnection>
      <spirit:name>JA_3</spirit:name>
       <spirit:activeInterface spirit:componentRef="ja_3_pad" spirit:busRef="pad_ring">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>PAD_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>JA_3</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>

    <spirit:interconnection>
      <spirit:name>JA_4</spirit:name>
       <spirit:activeInterface spirit:componentRef="ja_4_pad" spirit:busRef="pad_ring">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>PAD_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>JA_4</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>

    <spirit:interconnection>
      <spirit:name>JA_7</spirit:name>
       <spirit:activeInterface spirit:componentRef="ja_7_pad" spirit:busRef="pad_ring">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>PAD_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>JA_7</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>

    <spirit:interconnection>
      <spirit:name>JA_8</spirit:name>
       <spirit:activeInterface spirit:componentRef="ja_8_pad" spirit:busRef="pad_ring">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>PAD_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>JA_8</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>

    <spirit:interconnection>
      <spirit:name>JA_9</spirit:name>
       <spirit:activeInterface spirit:componentRef="ja_9_pad" spirit:busRef="pad_ring">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>PAD_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>JA_9</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>

    <spirit:interconnection>
      <spirit:name>JA_10</spirit:name>
       <spirit:activeInterface spirit:componentRef="ja_10_pad" spirit:busRef="pad_ring">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>PAD_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>JA_10</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>






    <spirit:interconnection>
      <spirit:name>JB_1</spirit:name>
       <spirit:activeInterface spirit:componentRef="jb_1_pad" spirit:busRef="pad_ring">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>PAD_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>JB_1</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>

    <spirit:interconnection>
      <spirit:name>JB_2</spirit:name>
       <spirit:activeInterface spirit:componentRef="jb_2_pad" spirit:busRef="pad_ring">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>PAD_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>JB_2</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>

    <spirit:interconnection>
      <spirit:name>JB_3</spirit:name>
       <spirit:activeInterface spirit:componentRef="jb_3_pad" spirit:busRef="pad_ring">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>PAD_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>JB_3</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>

    <spirit:interconnection>
      <spirit:name>JB_4</spirit:name>
       <spirit:activeInterface spirit:componentRef="jb_4_pad" spirit:busRef="pad_ring">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>PAD_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>JB_4</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>

    <spirit:interconnection>
      <spirit:name>JB_7</spirit:name>
       <spirit:activeInterface spirit:componentRef="jb_7_pad" spirit:busRef="pad_ring">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>PAD_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>JB_7</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>

    <spirit:interconnection>
      <spirit:name>JB_8</spirit:name>
       <spirit:activeInterface spirit:componentRef="jb_8_pad" spirit:busRef="pad_ring">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>PAD_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>JB_8</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>

    <spirit:interconnection>
      <spirit:name>JB_9</spirit:name>
       <spirit:activeInterface spirit:componentRef="jb_9_pad" spirit:busRef="pad_ring">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>PAD_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>JB_9</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>

    <spirit:interconnection>
      <spirit:name>JB_10</spirit:name>
       <spirit:activeInterface spirit:componentRef="jb_10_pad" spirit:busRef="pad_ring">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>PAD_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>JB_10</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>





    <spirit:interconnection>
      <spirit:name>JC_1</spirit:name>
       <spirit:activeInterface spirit:componentRef="jc_1_pad" spirit:busRef="pad_ring">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>PAD_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>JC_1</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>

    <spirit:interconnection>
      <spirit:name>JC_2</spirit:name>
       <spirit:activeInterface spirit:componentRef="jc_2_pad" spirit:busRef="pad_ring">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>PAD_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>JC_2</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>

    <spirit:interconnection>
      <spirit:name>JC_3</spirit:name>
       <spirit:activeInterface spirit:componentRef="jc_3_pad" spirit:busRef="pad_ring">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>PAD_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>JC_3</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>

    <spirit:interconnection>
      <spirit:name>JC_4</spirit:name>
       <spirit:activeInterface spirit:componentRef="jc_4_pad" spirit:busRef="pad_ring">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>PAD_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>JC_4</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>

    <spirit:interconnection>
      <spirit:name>JC_7</spirit:name>
       <spirit:activeInterface spirit:componentRef="jc_7_pad" spirit:busRef="pad_ring">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>PAD_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>JC_7</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>

    <spirit:interconnection>
      <spirit:name>JC_8</spirit:name>
       <spirit:activeInterface spirit:componentRef="jc_8_pad" spirit:busRef="pad_ring">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>PAD_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>JC_8</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>

    <spirit:interconnection>
      <spirit:name>JC_9</spirit:name>
       <spirit:activeInterface spirit:componentRef="jc_9_pad" spirit:busRef="pad_ring">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>PAD_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>JC_9</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>

    <spirit:interconnection>
      <spirit:name>JC_10</spirit:name>
       <spirit:activeInterface spirit:componentRef="jc_10_pad" spirit:busRef="pad_ring">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>PAD_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>JC_10</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>




    <spirit:interconnection>
      <spirit:name>MEMADR</spirit:name>
       <spirit:activeInterface spirit:componentRef="memadr_pad" spirit:busRef="pad_ring">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>PAD_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>MEMADR</spirit:name>
          <spirit:wire><spirit:vector><spirit:left>23</spirit:left><spirit:right>1</spirit:right></spirit:vector></spirit:wire>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>





    <spirit:interconnection>
      <spirit:name>MEMDB</spirit:name>

       <spirit:activeInterface spirit:componentRef="memdb_pad" spirit:busRef="pad_ring">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>PAD_io</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>MEMDB</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>


    <spirit:interconnection>
      <spirit:name>MEMOE</spirit:name>
       <spirit:activeInterface spirit:componentRef="memoe_n_pad" spirit:busRef="pad_ring">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>PAD_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>MEMOE</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>

    <spirit:interconnection>
      <spirit:name>MEMWR</spirit:name>
       <spirit:activeInterface spirit:componentRef="memwr_n_pad" spirit:busRef="pad_ring">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>PAD_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>MEMWR</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>



    <spirit:interconnection>
      <spirit:name>RAMADV</spirit:name>
       <spirit:activeInterface spirit:componentRef="ramadv_n_pad" spirit:busRef="pad_ring">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>PAD_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>RAMADV</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>




    <spirit:interconnection>
      <spirit:name>RAMCLK</spirit:name>
       <spirit:activeInterface spirit:componentRef="ramclk_pad" spirit:busRef="pad_ring">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>PAD_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>RAMCLK</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>

    <spirit:interconnection>
      <spirit:name>RAMUB</spirit:name>
       <spirit:activeInterface spirit:componentRef="ramub_n_pad" spirit:busRef="pad_ring">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>PAD_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>RAMUB</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>

    <spirit:interconnection>
      <spirit:name>RAMLB</spirit:name>
       <spirit:activeInterface spirit:componentRef="ramlb_n_pad" spirit:busRef="pad_ring">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>PAD_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>RAMLB</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>


    <spirit:interconnection>
      <spirit:name>RAMCS</spirit:name>
       <spirit:activeInterface spirit:componentRef="ramcs_n_pad" spirit:busRef="pad_ring">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>PAD_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>RAMCS</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>



    <spirit:interconnection>
      <spirit:name>RAMCRE</spirit:name>
       <spirit:activeInterface spirit:componentRef="ramcre_pad" spirit:busRef="pad_ring">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>PAD_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>RAMCRE</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>


    <spirit:interconnection>
      <spirit:name>RAMWAIT</spirit:name>
       <spirit:activeInterface spirit:componentRef="ramwait_pad" spirit:busRef="pad_ring">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>PAD_in</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>RAMWAIT</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>



    <spirit:interconnection>
      <spirit:name>FLASHSTSTS</spirit:name>
       <spirit:activeInterface spirit:componentRef="flashststs_pad" spirit:busRef="pad_ring">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>PAD_in</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>FLASHSTSTS</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>


    <spirit:interconnection>
      <spirit:name>FLASHRP</spirit:name>
       <spirit:activeInterface spirit:componentRef="flashrp_n_pad" spirit:busRef="pad_ring">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>PAD_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>FLASHRP</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>



    <spirit:interconnection>
      <spirit:name>FLASHCS</spirit:name>
       <spirit:activeInterface spirit:componentRef="flashcs_n_pad" spirit:busRef="pad_ring">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>PAD_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>FLASHCS</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>



    <spirit:interconnection>
      <spirit:name>EPPASTB</spirit:name>
       <spirit:activeInterface spirit:componentRef="eppastb_pad" spirit:busRef="pad_ring">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>PAD_in</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>EPPASTB</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>



    <spirit:interconnection>
      <spirit:name>EPPDSTB</spirit:name>
       <spirit:activeInterface spirit:componentRef="eppdstb_pad" spirit:busRef="pad_ring">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>PAD_in</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>EPPDSTB</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>




    <spirit:interconnection>
      <spirit:name>USBFLAG</spirit:name>
       <spirit:activeInterface spirit:componentRef="usbflag_pad" spirit:busRef="pad_ring">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>PAD_in</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>USBFLAG</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>




    <spirit:interconnection>
      <spirit:name>EPPWAIT</spirit:name>
       <spirit:activeInterface spirit:componentRef="eppwait_pad" spirit:busRef="pad_ring">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>PAD_io</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>EPPWAIT</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>




    <spirit:interconnection>
      <spirit:name>USBWR</spirit:name>
       <spirit:activeInterface spirit:componentRef="usbwr_pad" spirit:busRef="pad_ring">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>PAD_io</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>USBWR</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>



    <spirit:interconnection>
      <spirit:name>USBMODE</spirit:name>
       <spirit:activeInterface spirit:componentRef="usbmode_pad" spirit:busRef="pad_ring">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>PAD_io</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>USBMODE</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>



    <spirit:interconnection>
      <spirit:name>USBOE</spirit:name>
       <spirit:activeInterface spirit:componentRef="usboe_pad" spirit:busRef="pad_ring">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>PAD_io</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>USBOE</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>



    <spirit:interconnection>
      <spirit:name>USBPKTEND</spirit:name>
       <spirit:activeInterface spirit:componentRef="usbpktend_pad" spirit:busRef="pad_ring">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>PAD_io</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>USBPKTEND</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>



    <spirit:interconnection>
      <spirit:name>USBDIR</spirit:name>
       <spirit:activeInterface spirit:componentRef="usbdir_pad" spirit:busRef="pad_ring">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>PAD_io</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>USBDIR</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>

    <spirit:interconnection>
      <spirit:name>USBCLK</spirit:name>
       <spirit:activeInterface spirit:componentRef="usbclk_pad" spirit:busRef="pad_ring">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>PAD_io</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>USBCLK</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>



    <spirit:interconnection>
      <spirit:name>USBRDY</spirit:name>
       <spirit:activeInterface spirit:componentRef="usbrdy_pad" spirit:busRef="pad_ring">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>PAD_in</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>USBRDY</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>






    <spirit:interconnection>
      <spirit:name>USBADR</spirit:name>

       <spirit:activeInterface spirit:componentRef="usbadr_pad" spirit:busRef="pad_ring">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>PAD_io</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>USBADR</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>




    </spirit:interconnection>







    <spirit:interconnection>
      <spirit:name>EPPDB</spirit:name>
       <spirit:activeInterface spirit:componentRef="eppdb_pad" spirit:busRef="pad_ring">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>PAD_io</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>EPPDB</spirit:name>
          <spirit:wire><spirit:vector><spirit:left>7</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>







    <spirit:interconnection>
      <spirit:name>PIO</spirit:name>

       <spirit:activeInterface spirit:componentRef="pio_pad" spirit:busRef="pad_ring">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>PAD_io</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>PIO</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>



    </spirit:interconnection>







    <spirit:interconnection>
      <spirit:name>a_clk</spirit:name>
      <spirit:activeInterface spirit:componentRef="a_clk_pad" spirit:busRef="pad">
      </spirit:activeInterface>
    </spirit:interconnection>



    <spirit:interconnection>
      <spirit:name>b_clk</spirit:name>
      <spirit:activeInterface spirit:componentRef="b_clk_pad" spirit:busRef="pad">
      </spirit:activeInterface>
    </spirit:interconnection>




    <spirit:interconnection>
      <spirit:name>led</spirit:name>

      <spirit:activeInterface spirit:componentRef="led_pad" spirit:busRef="pad">
        <spirit:portMaps>

          <spirit:portMap>
          <spirit:logicalPort><spirit:name>pad_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort>
          <spirit:wire><spirit:vector><spirit:left>7</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>

    </spirit:interconnection>

    <spirit:interconnection>
      <spirit:name>seg</spirit:name>


      <spirit:activeInterface spirit:componentRef="seg_pad" spirit:busRef="pad">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>pad_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort>
          <spirit:wire><spirit:vector><spirit:left>6</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>




    <spirit:interconnection>
      <spirit:name>vga_red</spirit:name>


      <spirit:activeInterface spirit:componentRef="vga_red_pad" spirit:busRef="pad">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>pad_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort>
          <spirit:wire><spirit:vector><spirit:left>2</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>

    <spirit:interconnection>
      <spirit:name>vga_green</spirit:name>
      <spirit:activeInterface spirit:componentRef="vga_green_pad" spirit:busRef="pad">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>pad_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort>
          <spirit:wire><spirit:vector><spirit:left>2</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>

    <spirit:interconnection>
      <spirit:name>vga_blue</spirit:name>
      <spirit:activeInterface spirit:componentRef="vga_blue_pad" spirit:busRef="pad">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>pad_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort>
          <spirit:wire><spirit:vector><spirit:left>1</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>


    <spirit:interconnection>
      <spirit:name>vga_hsync_n</spirit:name>
      <spirit:activeInterface spirit:componentRef="vga_hsync_n_pad" spirit:busRef="pad"/>
    </spirit:interconnection>

    <spirit:interconnection>
      <spirit:name>vga_vsync_n</spirit:name>
      <spirit:activeInterface spirit:componentRef="vga_vsync_n_pad" spirit:busRef="pad"/>
    </spirit:interconnection>

    <spirit:interconnection>
      <spirit:name>dp</spirit:name>
      <spirit:activeInterface spirit:componentRef="dp_pad" spirit:busRef="pad">
      </spirit:activeInterface>
    </spirit:interconnection>


    <spirit:interconnection>
      <spirit:name>ps2_clk</spirit:name>
      <spirit:activeInterface spirit:componentRef="ps2_clk_pad" spirit:busRef="pad">
      </spirit:activeInterface>
    </spirit:interconnection>


    <spirit:interconnection>
      <spirit:name>ps2_data</spirit:name>
      <spirit:activeInterface spirit:componentRef="ps2_data_pad" spirit:busRef="pad">
      </spirit:activeInterface>
    </spirit:interconnection>








    <spirit:interconnection>
      <spirit:name>an</spirit:name>
      <spirit:activeInterface spirit:componentRef="an_pad" spirit:busRef="pad">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>pad_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort>
          <spirit:wire><spirit:vector><spirit:left>3</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>


    <spirit:interconnection>
      <spirit:name>btn</spirit:name>
      <spirit:activeInterface spirit:componentRef="btn_pad" spirit:busRef="pad">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>pad_in</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort>
          <spirit:wire><spirit:vector><spirit:left>3</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>

    </spirit:interconnection>


    <spirit:interconnection>
      <spirit:name>sw</spirit:name>

      <spirit:activeInterface spirit:componentRef="sw_pad" spirit:busRef="pad">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>pad_in</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort>
          <spirit:wire><spirit:vector><spirit:left>7</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>



    <spirit:interconnection>
      <spirit:name>uart_txd</spirit:name>
      <spirit:activeInterface spirit:componentRef="txd_pad" spirit:busRef="pad"/>
    </spirit:interconnection>

    <spirit:interconnection>
      <spirit:name>uart_rts</spirit:name>
      <spirit:activeInterface spirit:componentRef="rts_pad" spirit:busRef="pad"/>
    </spirit:interconnection>



    <spirit:interconnection>
      <spirit:name>uart_rxd</spirit:name>
      <spirit:activeInterface spirit:componentRef="rxd_pad" spirit:busRef="pad"/>
    </spirit:interconnection>


    <spirit:interconnection>
      <spirit:name>uart_cts</spirit:name>
      <spirit:activeInterface spirit:componentRef="cts_pad" spirit:busRef="pad"/>
    </spirit:interconnection>




    <spirit:interconnection>
      <spirit:name>ja_1</spirit:name>
      <spirit:activeInterface spirit:componentRef="ja_1_pad" spirit:busRef="pad"/>
    </spirit:interconnection>

    <spirit:interconnection>
      <spirit:name>ja_2</spirit:name>
      <spirit:activeInterface spirit:componentRef="ja_2_pad" spirit:busRef="pad"/>
    </spirit:interconnection>

    <spirit:interconnection>
      <spirit:name>ja_3</spirit:name>
      <spirit:activeInterface spirit:componentRef="ja_3_pad" spirit:busRef="pad"/>
    </spirit:interconnection>

    <spirit:interconnection>
      <spirit:name>ja_4</spirit:name>
      <spirit:activeInterface spirit:componentRef="ja_4_pad" spirit:busRef="pad"/>
    </spirit:interconnection>

    <spirit:interconnection>
      <spirit:name>ja_7</spirit:name>
      <spirit:activeInterface spirit:componentRef="ja_7_pad" spirit:busRef="pad"/>
    </spirit:interconnection>

    <spirit:interconnection>
      <spirit:name>ja_8</spirit:name>
      <spirit:activeInterface spirit:componentRef="ja_8_pad" spirit:busRef="pad"/>
    </spirit:interconnection>

    <spirit:interconnection>
      <spirit:name>ja_9</spirit:name>
      <spirit:activeInterface spirit:componentRef="ja_9_pad" spirit:busRef="pad"/>
    </spirit:interconnection>

    <spirit:interconnection>
      <spirit:name>ja_10</spirit:name>
      <spirit:activeInterface spirit:componentRef="ja_10_pad" spirit:busRef="pad"/>
    </spirit:interconnection>



    <spirit:interconnection>
      <spirit:name>jb_1</spirit:name>
      <spirit:activeInterface spirit:componentRef="jb_1_pad" spirit:busRef="pad"/>
    </spirit:interconnection>

    <spirit:interconnection>
      <spirit:name>jb_2</spirit:name>
      <spirit:activeInterface spirit:componentRef="jb_2_pad" spirit:busRef="pad"/>
    </spirit:interconnection>

    <spirit:interconnection>
      <spirit:name>jb_3</spirit:name>
      <spirit:activeInterface spirit:componentRef="jb_3_pad" spirit:busRef="pad"/>
    </spirit:interconnection>

    <spirit:interconnection>
      <spirit:name>jb_4</spirit:name>
      <spirit:activeInterface spirit:componentRef="jb_4_pad" spirit:busRef="pad"/>
    </spirit:interconnection>

    <spirit:interconnection>
      <spirit:name>jb_7</spirit:name>
      <spirit:activeInterface spirit:componentRef="jb_7_pad" spirit:busRef="pad"/>
    </spirit:interconnection>

    <spirit:interconnection>
      <spirit:name>jb_8</spirit:name>
      <spirit:activeInterface spirit:componentRef="jb_8_pad" spirit:busRef="pad"/>
    </spirit:interconnection>

    <spirit:interconnection>
      <spirit:name>jb_9</spirit:name>
      <spirit:activeInterface spirit:componentRef="jb_9_pad" spirit:busRef="pad"/>
    </spirit:interconnection>

    <spirit:interconnection>
      <spirit:name>jb_10</spirit:name>
      <spirit:activeInterface spirit:componentRef="jb_10_pad" spirit:busRef="pad"/>
    </spirit:interconnection>



    <spirit:interconnection>
      <spirit:name>jc_1</spirit:name>
      <spirit:activeInterface spirit:componentRef="jc_1_pad" spirit:busRef="pad"/>
    </spirit:interconnection>

    <spirit:interconnection>
      <spirit:name>jc_2</spirit:name>
      <spirit:activeInterface spirit:componentRef="jc_2_pad" spirit:busRef="pad"/>
    </spirit:interconnection>

    <spirit:interconnection>
      <spirit:name>jc_3</spirit:name>
      <spirit:activeInterface spirit:componentRef="jc_3_pad" spirit:busRef="pad"/>
    </spirit:interconnection>

    <spirit:interconnection>
      <spirit:name>jc_4</spirit:name>
      <spirit:activeInterface spirit:componentRef="jc_4_pad" spirit:busRef="pad"/>
    </spirit:interconnection>

    <spirit:interconnection>
      <spirit:name>jc_7</spirit:name>
      <spirit:activeInterface spirit:componentRef="jc_7_pad" spirit:busRef="pad"/>
    </spirit:interconnection>

    <spirit:interconnection>
      <spirit:name>jc_8</spirit:name>
      <spirit:activeInterface spirit:componentRef="jc_8_pad" spirit:busRef="pad"/>
    </spirit:interconnection>

    <spirit:interconnection>
      <spirit:name>jc_9</spirit:name>
      <spirit:activeInterface spirit:componentRef="jc_9_pad" spirit:busRef="pad"/>
    </spirit:interconnection>

    <spirit:interconnection>
      <spirit:name>jc_10</spirit:name>
      <spirit:activeInterface spirit:componentRef="jc_10_pad" spirit:busRef="pad"/>
    </spirit:interconnection>



    <spirit:interconnection>
      <spirit:name>memadr</spirit:name>
      <spirit:activeInterface spirit:componentRef="memadr_pad" spirit:busRef="pad">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>pad_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>memadr_out</spirit:name>
          <spirit:wire><spirit:vector><spirit:left>23</spirit:left><spirit:right>1</spirit:right></spirit:vector></spirit:wire>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>


    <spirit:interconnection>
      <spirit:name>memdb</spirit:name>
      <spirit:activeInterface spirit:componentRef="memdb_pad" spirit:busRef="pad">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>pad_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>memdb_out</spirit:name>
          <spirit:wire><spirit:vector><spirit:left>15</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
          </spirit:physicalPort>
          </spirit:portMap>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>pad_in</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>memdb_in</spirit:name>
          <spirit:wire><spirit:vector><spirit:left>15</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
          </spirit:physicalPort>
          </spirit:portMap>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>pad_oe</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>memdb_oe</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>




    <spirit:interconnection>
      <spirit:name>memoe_n</spirit:name>

      <spirit:activeInterface spirit:componentRef="memoe_n_pad" spirit:busRef="pad">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>pad_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>memoe_n_out</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>


    <spirit:interconnection>
      <spirit:name>memwr_n</spirit:name>


      <spirit:activeInterface spirit:componentRef="memwr_n_pad" spirit:busRef="pad">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>pad_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>memwr_n_out</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>




    <spirit:interconnection>
      <spirit:name>ramclk</spirit:name>

      <spirit:activeInterface spirit:componentRef="ramclk_pad" spirit:busRef="pad">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>pad_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>ramclk_out</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>


    <spirit:interconnection>
      <spirit:name>ramcre</spirit:name>

      <spirit:activeInterface spirit:componentRef="ramcre_pad" spirit:busRef="pad">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>pad_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>ramcre_out</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>




    <spirit:interconnection>
      <spirit:name>ramcs_n</spirit:name>

      <spirit:activeInterface spirit:componentRef="ramcs_n_pad" spirit:busRef="pad">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>pad_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>ramcs_n_out</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>



    <spirit:interconnection>
      <spirit:name>ramub_n</spirit:name>

      <spirit:activeInterface spirit:componentRef="ramub_n_pad" spirit:busRef="pad">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>pad_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>ramub_n_out</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>


    <spirit:interconnection>
      <spirit:name>ramlb_n</spirit:name>

      <spirit:activeInterface spirit:componentRef="ramlb_n_pad" spirit:busRef="pad">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>pad_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>ramlb_n_out</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>



    <spirit:interconnection>
      <spirit:name>flashcs_n</spirit:name>

      <spirit:activeInterface spirit:componentRef="flashcs_n_pad" spirit:busRef="pad">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>pad_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>flashcs_n_out</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>


    <spirit:interconnection>
      <spirit:name>flashrp_n</spirit:name>

      <spirit:activeInterface spirit:componentRef="flashrp_n_pad" spirit:busRef="pad">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>pad_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>flashrp_n_out</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>


    <spirit:interconnection>
      <spirit:name>flashststs</spirit:name>

      <spirit:activeInterface spirit:componentRef="flashststs_pad" spirit:busRef="pad">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>pad_in</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>flashststs_in</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>

    <spirit:interconnection>
      <spirit:name>ramwait</spirit:name>

      <spirit:activeInterface spirit:componentRef="ramwait_pad" spirit:busRef="pad">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>pad_in</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>ramwait_in</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>

    <spirit:interconnection>
      <spirit:name>ramadv_n</spirit:name>

      <spirit:activeInterface spirit:componentRef="ramadv_n_pad" spirit:busRef="pad">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>pad_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>ramadv_n_out</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>


    <spirit:interconnection>
      <spirit:name>rs_tx</spirit:name>

      <spirit:activeInterface spirit:componentRef="rs_tx_pad" spirit:busRef="pad">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>pad_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>rs_tx_pad_out</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>

    <spirit:interconnection>
      <spirit:name>rs_rx</spirit:name>

      <spirit:activeInterface spirit:componentRef="rs_rx_pad" spirit:busRef="pad">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>pad_in</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>rs_rx_pad_in</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>




    <spirit:interconnection>
      <spirit:name>eppastb</spirit:name>
      <spirit:activeInterface spirit:componentRef="eppastb_pad" spirit:busRef="pad">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>pad_in</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>eppastb_in</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>


    <spirit:interconnection>
      <spirit:name>eppdstb</spirit:name>
      <spirit:activeInterface spirit:componentRef="eppdstb_pad" spirit:busRef="pad">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>pad_in</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>eppdstb_in</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>

    <spirit:interconnection>
      <spirit:name>eppwr</spirit:name>
      <spirit:activeInterface spirit:componentRef="eppwr_pad" spirit:busRef="pad">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>pad_in</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>eppwr_in</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>














    <spirit:interconnection>
      <spirit:name>eppwait</spirit:name>

      <spirit:activeInterface spirit:componentRef="eppwait_pad" spirit:busRef="pad">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>pad_in</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>eppwait_in</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>pad_oe</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>eppwait_oe</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>pad_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>eppwait_out</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>

        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>





    <spirit:interconnection>
      <spirit:name>eppdb</spirit:name>
      <spirit:activeInterface spirit:componentRef="eppdb_pad" spirit:busRef="pad">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>pad_in</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>eppdb_in</spirit:name>
          <spirit:wire><spirit:vector><spirit:left>7</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
          </spirit:physicalPort>
          </spirit:portMap>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>pad_oe</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>eppdb_oe</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>pad_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>eppdb_out</spirit:name>
          <spirit:wire><spirit:vector><spirit:left>7</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>



    <spirit:interconnection>
      <spirit:name>usbrdy</spirit:name>
      <spirit:activeInterface spirit:componentRef="usbrdy_pad" spirit:busRef="pad">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>pad_in</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>usbrdy_in</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>


    <spirit:interconnection>
      <spirit:name>usbflag</spirit:name>
      <spirit:activeInterface spirit:componentRef="usbflag_pad" spirit:busRef="pad">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>pad_in</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>usbflag_in</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>



    <spirit:interconnection>
      <spirit:name>usbwr</spirit:name>
      <spirit:activeInterface spirit:componentRef="usbwr_pad" spirit:busRef="pad">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>pad_in</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>usbwr_in</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>pad_oe</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>usbwr_oe</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>pad_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>usbwr_out</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>


    <spirit:interconnection>
      <spirit:name>usbmode</spirit:name>
      <spirit:activeInterface spirit:componentRef="usbmode_pad" spirit:busRef="pad">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>pad_in</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>usbmode_in</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>pad_oe</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>usbmode_oe</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>pad_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>usbmode_out</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>


    <spirit:interconnection>
      <spirit:name>usboe</spirit:name>
      <spirit:activeInterface spirit:componentRef="usboe_pad" spirit:busRef="pad">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>pad_in</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>usboe_in</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>pad_oe</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>usboe_oe</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>pad_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>usboe_out</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>





    <spirit:interconnection>
      <spirit:name>usbpktend</spirit:name>
      <spirit:activeInterface spirit:componentRef="usbpktend_pad" spirit:busRef="pad">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>pad_in</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>usbpktend_in</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>pad_oe</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>usbpktend_oe</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>pad_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>usbpktend_out</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>



    <spirit:interconnection>
      <spirit:name>usbdir</spirit:name>
      <spirit:activeInterface spirit:componentRef="usbdir_pad" spirit:busRef="pad">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>pad_in</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>usbdir_in</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>pad_oe</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>usbdir_oe</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>pad_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>usbdir_out</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>



    <spirit:interconnection>
      <spirit:name>usbclk</spirit:name>

      <spirit:activeInterface spirit:componentRef="usbclk_pad" spirit:busRef="pad">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>pad_in</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>usbclk_in</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>pad_oe</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>usbclk_oe</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>pad_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>usbclk_out</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>



    <spirit:interconnection>
      <spirit:name>usbadr</spirit:name>
      <spirit:activeInterface spirit:componentRef="usbadr_pad" spirit:busRef="pad">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>pad_in</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>usbadr_in</spirit:name>
          <spirit:wire><spirit:vector><spirit:left>1</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
          </spirit:physicalPort>
          </spirit:portMap>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>pad_oe</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>usbadr_oe</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>pad_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>usbadr_out</spirit:name>
          <spirit:wire><spirit:vector><spirit:left>1</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>


    </spirit:interconnection>




    <spirit:interconnection>
      <spirit:name>pio</spirit:name>

      <spirit:activeInterface spirit:componentRef="pio_pad" spirit:busRef="pad">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>pad_in</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>pio_in</spirit:name>
          <spirit:wire><spirit:vector><spirit:left>39</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
          </spirit:physicalPort>
          </spirit:portMap>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>pad_oe</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>pio_oe</spirit:name>
          <spirit:wire><spirit:vector><spirit:left>39</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
          </spirit:physicalPort>
          </spirit:portMap>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>pad_out</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>pio_out</spirit:name>
          <spirit:wire><spirit:vector><spirit:left>39</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>


    </spirit:interconnection>



  
     <spirit:interconnection>
      <spirit:name>jtag</spirit:name>
      <spirit:activeInterface spirit:componentRef="jtag_tap" spirit:busRef="jtag"></spirit:activeInterface>
      </spirit:interconnection>


     <spirit:interconnection>
      <spirit:name>aux_jtag</spirit:name>
      <spirit:activeInterface spirit:componentRef="jtag_tap" spirit:busRef="aux_jtag"></spirit:activeInterface>
      </spirit:interconnection>








    </spirit:interconnections>









<spirit:componentInstances>



<spirit:componentInstance>
<spirit:instanceName>clock_sys</spirit:instanceName>
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="clock" spirit:version="sys" />
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="FREQ">CLOCK_FREQ</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PLL_MULT">CLOCK_PLL_MULT</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PLL_DIV">CLOCK_PLL_DIV</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PLL_SIZE">CLOCK_PLL_SIZE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="CLOCK_SRC">CLOCK_SRC</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RESET_SENSE">RESET_SENSE</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>






<spirit:componentInstance>
<spirit:instanceName>jtag_tap</spirit:instanceName> 
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="jtag" spirit:version="tap" />
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="CHIP_ID_VAL">CHIP_ID</spirit:configurableElementValue>
</spirit:configurableElementValues>

</spirit:componentInstance>


<spirit:componentInstance>
<spirit:instanceName>a_clk_pad</spirit:instanceName> 
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="in_dig" />
</spirit:componentInstance>

<spirit:componentInstance>
<spirit:instanceName>b_clk_pad</spirit:instanceName> 
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="in_dig" />
</spirit:componentInstance>

<spirit:componentInstance>
<spirit:instanceName>seg_pad</spirit:instanceName> 
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="out_dig" />
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="WIDTH">7</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>


<spirit:componentInstance>
<spirit:instanceName>dp_pad</spirit:instanceName> 
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="out_dig" />
</spirit:componentInstance>


<spirit:componentInstance>
<spirit:instanceName>an_pad</spirit:instanceName> 
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="out_dig" />
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="WIDTH">4</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>


<spirit:componentInstance>
<spirit:instanceName>sw_pad</spirit:instanceName> 
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="in_dig" />
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="WIDTH">8</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>

<spirit:componentInstance>
<spirit:instanceName>btn_pad</spirit:instanceName> 
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="in_dig" />
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="WIDTH">4</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>


<spirit:componentInstance>
<spirit:instanceName>led_pad</spirit:instanceName> 
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="out_dig" />
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="WIDTH">8</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>


<spirit:componentInstance>
<spirit:instanceName>ps2_data_pad</spirit:instanceName> 
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="od_dig" />
</spirit:componentInstance>

<spirit:componentInstance>
<spirit:instanceName>ps2_clk_pad</spirit:instanceName> 
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="od_dig" />
</spirit:componentInstance>

<spirit:componentInstance>
<spirit:instanceName>rs_rx_pad</spirit:instanceName> 
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="in_dig" />
</spirit:componentInstance>

<spirit:componentInstance>
<spirit:instanceName>rs_tx_pad</spirit:instanceName> 
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="out_dig" />
</spirit:componentInstance>

<spirit:componentInstance>
<spirit:instanceName>ja_1_pad</spirit:instanceName> 
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="out_dig" />
</spirit:componentInstance>

<spirit:componentInstance>
<spirit:instanceName>ja_2_pad</spirit:instanceName> 
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="out_dig" />
</spirit:componentInstance>

<spirit:componentInstance>
<spirit:instanceName>ja_3_pad</spirit:instanceName> 
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="out_dig" />
</spirit:componentInstance>

<spirit:componentInstance>
<spirit:instanceName>ja_4_pad</spirit:instanceName> 
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="out_dig" />
</spirit:componentInstance>

<spirit:componentInstance>
<spirit:instanceName>ja_7_pad</spirit:instanceName> 
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="out_dig" />
</spirit:componentInstance>

<spirit:componentInstance>
<spirit:instanceName>ja_8_pad</spirit:instanceName> 
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="out_dig" />
</spirit:componentInstance>

<spirit:componentInstance>
<spirit:instanceName>ja_9_pad</spirit:instanceName> 
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="out_dig" />
</spirit:componentInstance>

<spirit:componentInstance>
<spirit:instanceName>ja_10_pad</spirit:instanceName> 
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="out_dig" />
</spirit:componentInstance>

<spirit:componentInstance>
<spirit:instanceName>jb_1_pad</spirit:instanceName> 
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="out_dig" />
</spirit:componentInstance>

<spirit:componentInstance>
<spirit:instanceName>jb_2_pad</spirit:instanceName> 
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="out_dig" />
</spirit:componentInstance>

<spirit:componentInstance>
<spirit:instanceName>jb_3_pad</spirit:instanceName> 
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="out_dig" />
</spirit:componentInstance>

<spirit:componentInstance>
<spirit:instanceName>jb_4_pad</spirit:instanceName> 
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="out_dig" />
</spirit:componentInstance>

<spirit:componentInstance>
<spirit:instanceName>jb_7_pad</spirit:instanceName> 
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="out_dig" />
</spirit:componentInstance>

<spirit:componentInstance>
<spirit:instanceName>jb_8_pad</spirit:instanceName> 
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="out_dig" />
</spirit:componentInstance>

<spirit:componentInstance>
<spirit:instanceName>jb_9_pad</spirit:instanceName> 
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="out_dig" />
</spirit:componentInstance>

<spirit:componentInstance>
<spirit:instanceName>jb_10_pad</spirit:instanceName> 
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="out_dig" />
</spirit:componentInstance>

<spirit:componentInstance>
<spirit:instanceName>jc_1_pad</spirit:instanceName> <spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="out_dig" />
</spirit:componentInstance>

<spirit:componentInstance>
<spirit:instanceName>jc_2_pad</spirit:instanceName> <spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="out_dig" />
</spirit:componentInstance>

<spirit:componentInstance>
<spirit:instanceName>jc_3_pad</spirit:instanceName> <spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="out_dig" />
</spirit:componentInstance>

<spirit:componentInstance>
<spirit:instanceName>jc_4_pad</spirit:instanceName> <spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="out_dig" />
</spirit:componentInstance>

<spirit:componentInstance>
<spirit:instanceName>jc_7_pad</spirit:instanceName> <spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="out_dig" />
</spirit:componentInstance>

<spirit:componentInstance>
<spirit:instanceName>jc_8_pad</spirit:instanceName> <spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="out_dig" />
</spirit:componentInstance>

<spirit:componentInstance>
<spirit:instanceName>jc_9_pad</spirit:instanceName> <spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="out_dig" />
</spirit:componentInstance>

<spirit:componentInstance>
<spirit:instanceName>jc_10_pad</spirit:instanceName> <spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="out_dig" />
</spirit:componentInstance>

<spirit:componentInstance>
<spirit:instanceName>rts_pad</spirit:instanceName> <spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="out_dig" />
</spirit:componentInstance>

<spirit:componentInstance>
<spirit:instanceName>cts_pad</spirit:instanceName> <spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="in_dig" />
</spirit:componentInstance>


<spirit:componentInstance>
<spirit:instanceName>rxd_pad</spirit:instanceName> <spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="in_dig" />
</spirit:componentInstance>


<spirit:componentInstance>
<spirit:instanceName>txd_pad</spirit:instanceName> <spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="out_dig" />
</spirit:componentInstance>


<spirit:componentInstance>
<spirit:instanceName>vga_red_pad</spirit:instanceName> 
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="out_dig" />
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="WIDTH">3</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>


<spirit:componentInstance>
<spirit:instanceName>vga_green_pad</spirit:instanceName> 
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="out_dig" />
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="WIDTH">3</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>


<spirit:componentInstance>
<spirit:instanceName>vga_blue_pad</spirit:instanceName> 
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="out_dig" />
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="WIDTH">2</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>


<spirit:componentInstance>
<spirit:instanceName>vga_hsync_n_pad</spirit:instanceName> <spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="out_dig" />
</spirit:componentInstance>

<spirit:componentInstance>
<spirit:instanceName>vga_vsync_n_pad</spirit:instanceName> <spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="out_dig" />
</spirit:componentInstance>

<spirit:componentInstance>
<spirit:instanceName>ramadv_n_pad</spirit:instanceName> <spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="out_dig" />
</spirit:componentInstance>

<spirit:componentInstance>
<spirit:instanceName>ramclk_pad</spirit:instanceName> <spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="out_dig" />
</spirit:componentInstance>

<spirit:componentInstance>
<spirit:instanceName>ramcre_pad</spirit:instanceName> <spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="out_dig" />
</spirit:componentInstance>

<spirit:componentInstance>
<spirit:instanceName>memoe_n_pad</spirit:instanceName> <spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="out_dig" />
</spirit:componentInstance>

<spirit:componentInstance>
<spirit:instanceName>ramcs_n_pad</spirit:instanceName> <spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="out_dig" />
</spirit:componentInstance>

<spirit:componentInstance>
<spirit:instanceName>ramlb_n_pad</spirit:instanceName> <spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="out_dig" />
</spirit:componentInstance>

<spirit:componentInstance>
<spirit:instanceName>ramub_n_pad</spirit:instanceName> <spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="out_dig" />
</spirit:componentInstance>

<spirit:componentInstance>
<spirit:instanceName>memwr_n_pad</spirit:instanceName> <spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="out_dig" />
</spirit:componentInstance>

<spirit:componentInstance>
<spirit:instanceName>flashcs_n_pad</spirit:instanceName> <spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="out_dig" />
</spirit:componentInstance>

<spirit:componentInstance>
<spirit:instanceName>flashrp_n_pad</spirit:instanceName> <spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="out_dig" />
</spirit:componentInstance>

<spirit:componentInstance>
<spirit:instanceName>flashststs_pad</spirit:instanceName> <spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="in_dig" />
</spirit:componentInstance>

<spirit:componentInstance>
<spirit:instanceName>ramwait_pad</spirit:instanceName> <spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="in_dig" />
</spirit:componentInstance>

<spirit:componentInstance>
<spirit:instanceName>memadr_pad</spirit:instanceName> 
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="out_dig" />
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="WIDTH">23</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>

<spirit:componentInstance>
<spirit:instanceName>memdb_pad</spirit:instanceName> 
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="se_dig" />
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="WIDTH">16</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>



<spirit:componentInstance>
<spirit:instanceName>eppastb_pad</spirit:instanceName> <spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="in_dig" />
</spirit:componentInstance>

<spirit:componentInstance>
<spirit:instanceName>eppdstb_pad</spirit:instanceName> <spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="in_dig" />
</spirit:componentInstance>

<spirit:componentInstance>
<spirit:instanceName>usbflag_pad</spirit:instanceName> <spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="in_dig" />
</spirit:componentInstance>

<spirit:componentInstance>
<spirit:instanceName>eppwait_pad</spirit:instanceName> <spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="se_dig" />
</spirit:componentInstance>

<spirit:componentInstance>
<spirit:instanceName>usbwr_pad</spirit:instanceName> <spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="se_dig" />
</spirit:componentInstance>

<spirit:componentInstance>
<spirit:instanceName>usbmode_pad</spirit:instanceName> <spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="se_dig" />
</spirit:componentInstance>

<spirit:componentInstance>
<spirit:instanceName>usboe_pad</spirit:instanceName> <spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="se_dig" />
</spirit:componentInstance>

<spirit:componentInstance>
<spirit:instanceName>usbadr_pad</spirit:instanceName> <spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="se_dig" />
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="WIDTH">2</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>



<spirit:componentInstance>
<spirit:instanceName>usbpktend_pad</spirit:instanceName> <spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="se_dig" />
</spirit:componentInstance>

<spirit:componentInstance>
<spirit:instanceName>usbdir_pad</spirit:instanceName> <spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="se_dig" />
</spirit:componentInstance>

<spirit:componentInstance>
<spirit:instanceName>eppdb_pad</spirit:instanceName> 
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="se_dig" />
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="WIDTH">8</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>



<spirit:componentInstance>
<spirit:instanceName>usbclk_pad</spirit:instanceName> <spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="se_dig" />
</spirit:componentInstance>

<spirit:componentInstance>
<spirit:instanceName>usbrdy_pad</spirit:instanceName> <spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="in_dig" />
</spirit:componentInstance>

<spirit:componentInstance>
<spirit:instanceName>pio_pad</spirit:instanceName> <spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="se0_dig" />
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="WIDTH">40</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>




</spirit:componentInstances>





</spirit:design>



   










Go to most recent revision | Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.