OpenCores
URL https://opencores.org/ocsvn/socgen/socgen/trunk

Subversion Repositories socgen

[/] [socgen/] [trunk/] [Projects/] [opencores.org/] [Mos6502/] [ip/] [cpu/] [sim/] [testbenches/] [xml/] [cpu_bfm.design.xml] - Rev 133

Go to most recent revision | Compare with Previous | Blame | View Log

<?xml version="1.0" encoding="UTF-8"?>
<!--
//                                                                        //
// Author : John Eaton  Ouabache Designworks                              //
//                                                                        //
//   Copyright (C) 2010 Authors and OPENCORES.ORG                         //
//                                                                        //
//   This source file may be used and distributed without                 //
//   restriction provided that this copyright statement is not            //
//   removed from the file and that any derivative work contains          //
//   the original copyright notice and the associated disclaimer.         //
//                                                                        //
//   This source file is free software; you can redistribute it           //
//   and/or modify it under the terms of the GNU Lesser General           //
//   Public License as published by the Free Software Foundation;         //
//   either version 2.1 of the License, or (at your option) any           //
//   later version.                                                       //
//                                                                        //
//   This source is distributed in the hope that it will be               //
//   useful, but WITHOUT ANY WARRANTY; without even the implied           //
//   warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR              //
//   PURPOSE. See the GNU Lesser General Public License for more          //
//   details.                                                             //
//                                                                        //
//   You should have received a copy of the GNU Lesser General            //
//   Public License along with this source; if not, download it           //
//   from http://www.opencores.org/lgpl.shtml                             //
//                                                                        //
-->
<spirit:design 
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">

<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>Mos6502</spirit:library>
<spirit:name>cpu</spirit:name>
<spirit:version>bfm.design</spirit:version>  

<spirit:vendorExtensions>
<socgen:nodes>

<socgen:node>
<spirit:name>mem_addr</spirit:name>
<spirit:busDef>mem_addr</spirit:busDef>
<spirit:typeName>wire</spirit:typeName>
<spirit:wire><spirit:vector><spirit:left>CPU_ADD-1</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
</socgen:node>

</socgen:nodes>
</spirit:vendorExtensions>



 <spirit:interconnections>

    <spirit:interconnection>
      <spirit:name>mem</spirit:name>
      <spirit:activeInterface spirit:componentRef="micro_bus" spirit:busRef="mem"></spirit:activeInterface>
      </spirit:interconnection>


    <spirit:interconnection>
      <spirit:name>data</spirit:name>
      <spirit:activeInterface spirit:componentRef="micro_bus" spirit:busRef="data"></spirit:activeInterface>
      <spirit:activeInterface spirit:componentRef="data_sram" spirit:busRef="mem"></spirit:activeInterface>
      </spirit:interconnection>





    <spirit:interconnection>
      <spirit:name>ext_mem</spirit:name>
      <spirit:activeInterface spirit:componentRef="micro_bus" spirit:busRef="ext_mem"></spirit:activeInterface>
      <spirit:activeInterface spirit:componentRef="io_module" spirit:busRef="mem"></spirit:activeInterface>
      </spirit:interconnection>




    <spirit:interconnection>
      <spirit:name>io_reg</spirit:name>
      <spirit:activeInterface spirit:componentRef="micro_bus" spirit:busRef="io_reg"></spirit:activeInterface>
      <spirit:activeInterface spirit:componentRef="io_module" spirit:busRef="reg_mb"></spirit:activeInterface>
      </spirit:interconnection>

    <spirit:interconnection>
      <spirit:name>prog_rom_mem</spirit:name>
      <spirit:activeInterface spirit:componentRef="micro_bus" spirit:busRef="prog_rom_mem"></spirit:activeInterface>
      </spirit:interconnection>

    <spirit:interconnection>
      <spirit:name>sh_prog_rom_mem</spirit:name>
      <spirit:activeInterface spirit:componentRef="micro_bus" spirit:busRef="sh_prog_rom_mem"></spirit:activeInterface>
      </spirit:interconnection>



      <spirit:interconnection>
      <spirit:name>cpu</spirit:name>
      <spirit:activeInterface spirit:componentRef="micro_bus" spirit:busRef="cpu">
          <spirit:portMaps>

          <spirit:portMap>
          <spirit:logicalPort><spirit:name>addr</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>addr</spirit:name>
          <spirit:wire><spirit:vector><spirit:left>15</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
          </spirit:physicalPort>
          </spirit:portMap>


          <spirit:portMap>
          <spirit:logicalPort><spirit:name>rd</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>rd</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>

          <spirit:portMap>
          <spirit:logicalPort><spirit:name>wr</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>wr</spirit:name>
          </spirit:physicalPort>
          </spirit:portMap>

          <spirit:portMap>
          <spirit:logicalPort><spirit:name>rdata</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>rdata</spirit:name>
          <spirit:wire><spirit:vector><spirit:left>15</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
          </spirit:physicalPort>
          </spirit:portMap>


          <spirit:portMap>
          <spirit:logicalPort><spirit:name>wdata</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort><spirit:name>wdata</spirit:name>
          <spirit:wire><spirit:vector><spirit:left>7</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
          </spirit:physicalPort>
          </spirit:portMap>






          </spirit:portMaps>



      </spirit:activeInterface>




      </spirit:interconnection>



    </spirit:interconnections>








<spirit:adHocConnections>




    <spirit:adHocConnection>
      <spirit:name>clk</spirit:name>
      <spirit:externalPortReference spirit:portRef="clk"/>
      <spirit:internalPortReference spirit:componentRef="micro_bus" spirit:portRef="clk"/>
      <spirit:internalPortReference spirit:componentRef="boot_rom" spirit:portRef="clk"/>
      <spirit:internalPortReference spirit:componentRef="sh_prog_rom" spirit:portRef="clk"/>
      <spirit:internalPortReference spirit:componentRef="data_sram" spirit:portRef="clk"/>
      <spirit:internalPortReference spirit:componentRef="pg00_ram_l" spirit:portRef="clk"/>
      <spirit:internalPortReference spirit:componentRef="pg00_ram_h" spirit:portRef="clk"/>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="clk"/>
    </spirit:adHocConnection>



    <spirit:adHocConnection>
      <spirit:name>reset</spirit:name>
      <spirit:externalPortReference spirit:portRef="reset"/>
      <spirit:internalPortReference spirit:componentRef="micro_bus" spirit:portRef="reset"/>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="reset"/>
    </spirit:adHocConnection>


    <spirit:adHocConnection>
      <spirit:name>enable</spirit:name>
      <spirit:externalPortReference spirit:portRef="enable"/>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="enable" />
      <spirit:internalPortReference spirit:componentRef="micro_bus" spirit:portRef="enable" />
    </spirit:adHocConnection>



    <spirit:adHocConnection>
      <spirit:name>mem_addr</spirit:name>
      <spirit:externalPortReference spirit:portRef="mem_addr" spirit:left="ROM_ADD" spirit:right="1"  />
      <spirit:internalPortReference spirit:componentRef="boot_rom" spirit:portRef="raddr" />
      <spirit:internalPortReference spirit:componentRef="boot_rom" spirit:portRef="waddr" />
    </spirit:adHocConnection>


    <spirit:adHocConnection>
      <spirit:name>mem_addr</spirit:name>
      <spirit:externalPortReference spirit:portRef="mem_addr"  spirit:left="PROG_ROM_ADD" spirit:right="1"/>
      <spirit:internalPortReference spirit:componentRef="sh_prog_rom" spirit:portRef="raddr" />
      <spirit:internalPortReference spirit:componentRef="sh_prog_rom" spirit:portRef="waddr" />
    </spirit:adHocConnection>





    <spirit:adHocConnection>
      <spirit:name>prog_rom_mem_rdata</spirit:name>
      <spirit:internalPortReference spirit:componentRef="boot_rom" spirit:portRef="rdata"/>
    </spirit:adHocConnection>


    <spirit:adHocConnection>
      <spirit:name>mem_rdata</spirit:name>
      <spirit:externalPortReference spirit:portRef="mem_rdata" spirit:left="7" spirit:right="0" />
      <spirit:internalPortReference spirit:componentRef="pg00_ram_l" spirit:portRef="rdata"     />
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>mem_rdata</spirit:name>
      <spirit:externalPortReference spirit:portRef="mem_rdata" spirit:left="15" spirit:right="8" />
      <spirit:internalPortReference spirit:componentRef="pg00_ram_h" spirit:portRef="rdata"     />
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>sh_prog_rom_mem_rdata</spirit:name>
      <spirit:internalPortReference spirit:componentRef="sh_prog_rom" spirit:portRef="rdata"/>
   </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>prog_rom_mem_cs</spirit:name>
      <spirit:internalPortReference spirit:componentRef="boot_rom" spirit:portRef="cs"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>sh_prog_rom_mem_cs</spirit:name>
      <spirit:internalPortReference spirit:componentRef="sh_prog_rom" spirit:portRef="cs"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>mem_wdata</spirit:name>
      <spirit:externalPortReference spirit:portRef="mem_wdata"  spirit:left="7" spirit:right="0" />
      <spirit:internalPortReference spirit:componentRef="pg00_ram_l" spirit:portRef="wdata"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>mem_wdata</spirit:name>
      <spirit:externalPortReference spirit:portRef="mem_wdata" spirit:left="15" spirit:right="8" />
      <spirit:internalPortReference spirit:componentRef="pg00_ram_h" spirit:portRef="wdata"/>
    </spirit:adHocConnection>


    <spirit:adHocConnection>
      <spirit:name>mem_wr</spirit:name>
      <spirit:externalPortReference spirit:portRef="mem_wr"/>
      <spirit:internalPortReference spirit:componentRef="sh_prog_rom" spirit:portRef="wr"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>mem_rd</spirit:name>
      <spirit:externalPortReference spirit:portRef="mem_rd"/>
      <spirit:internalPortReference spirit:componentRef="boot_rom" spirit:portRef="rd"/>
       <spirit:internalPortReference spirit:componentRef="sh_prog_rom" spirit:portRef="rd"/>
   </spirit:adHocConnection>



    <spirit:adHocConnection>
      <spirit:name>gpio_0_out</spirit:name>
      <spirit:externalPortReference spirit:portRef="gpio_0_out"  spirit:left="7" spirit:right="0" />
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="gpio_0_out"/>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="gpio_0_in"/>
    </spirit:adHocConnection>



    <spirit:adHocConnection>
      <spirit:name>gpio_1_out</spirit:name>
      <spirit:externalPortReference spirit:portRef="gpio_1_out" spirit:left="7" spirit:right="0"/>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="gpio_1_out"/>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="gpio_1_in"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>uart_txd_pad_out</spirit:name>
      <spirit:externalPortReference spirit:portRef="uart_txd_pad_out"/>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="uart_txd_pad_out"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>rts_pad_out</spirit:name>
      <spirit:externalPortReference spirit:portRef="rts_pad_out"/>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="rts_pad_out"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>ps2_clk_pad_oe</spirit:name>
      <spirit:externalPortReference spirit:portRef="ps2_clk_pad_oe"/>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="ps2_clk_pad_oe"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>ps2_data_pad_oe</spirit:name>
      <spirit:externalPortReference spirit:portRef="ps2_data_pad_oe"/>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="ps2_data_pad_oe"/>
    </spirit:adHocConnection>


      <spirit:adHocConnection>
      <spirit:name>pg0_add</spirit:name>
      <spirit:externalPortReference spirit:portRef="pg0_add" spirit:left="7" spirit:right="1"   />
      <spirit:internalPortReference spirit:componentRef="pg00_ram_l" spirit:portRef="raddr" />
      <spirit:internalPortReference spirit:componentRef="pg00_ram_l" spirit:portRef="waddr" />
      <spirit:internalPortReference spirit:componentRef="pg00_ram_h" spirit:portRef="raddr" />
      <spirit:internalPortReference spirit:componentRef="pg00_ram_h" spirit:portRef="waddr" />
      </spirit:adHocConnection>


    <spirit:adHocConnection>
      <spirit:name>vec_int</spirit:name>
      <spirit:externalPortReference spirit:portRef="vec_int" spirit:left="7" spirit:right="0" />
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="vector"/>
    </spirit:adHocConnection>


    <spirit:adHocConnection>
      <spirit:name>nmi</spirit:name>
      <spirit:externalPortReference spirit:portRef="nmi"/>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="int_out"/>
    </spirit:adHocConnection>




    <spirit:adHocConnection>
      <spirit:name>prog_rom_wdata</spirit:name>
      <spirit:externalPortReference spirit:portRef="prog_rom_wdata" spirit:left="15" spirit:right="0"   />
      <spirit:internalPortReference spirit:componentRef="sh_prog_rom" spirit:portRef="wdata"/>
    </spirit:adHocConnection>





    <spirit:adHocConnection>
      <spirit:name>pg00_ram_rd</spirit:name>
      <spirit:externalPortReference spirit:portRef="pg00_ram_rd"/>
      <spirit:internalPortReference spirit:componentRef="pg00_ram_l" spirit:portRef="rd"/>
      <spirit:internalPortReference spirit:componentRef="pg00_ram_h" spirit:portRef="rd"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>pg00_ram_l_wr</spirit:name>
      <spirit:externalPortReference spirit:portRef="pg00_ram_l_wr"/>
      <spirit:internalPortReference spirit:componentRef="pg00_ram_l" spirit:portRef="wr"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>pg00_ram_h_wr</spirit:name>
      <spirit:externalPortReference spirit:portRef="pg00_ram_h_wr"/>
      <spirit:internalPortReference spirit:componentRef="pg00_ram_h" spirit:portRef="wr"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>rx_irq</spirit:name>
      <spirit:externalPortReference spirit:portRef="rx_irq"/>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="rx_irq"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>tx_irq</spirit:name>
      <spirit:externalPortReference spirit:portRef="tx_irq"/>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="tx_irq"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>ps2_data_avail</spirit:name>
      <spirit:externalPortReference spirit:portRef="ps2_data_avail"/>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="ps2_data_avail"/>
    </spirit:adHocConnection>


    <spirit:adHocConnection>
      <spirit:name>io_module_pic_irq_in</spirit:name>
      <spirit:externalPortReference spirit:portRef="io_module_pic_irq_in" spirit:left="7" spirit:right="0" />
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="pic_irq_in"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>io_module_vic_irq_in</spirit:name>
      <spirit:externalPortReference spirit:portRef="io_module_vic_irq_in" spirit:left="7" spirit:right="0" />
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="vic_irq_in"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>timer_irq</spirit:name>
      <spirit:externalPortReference spirit:portRef="timer_irq"   spirit:left="1" spirit:right="0"/>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="timer_irq"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>ext_addr</spirit:name>
      <spirit:externalPortReference spirit:portRef="ext_addr"  spirit:left="23" spirit:right="1" />
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="ext_addr"/>
    </spirit:adHocConnection>


    <spirit:adHocConnection>
      <spirit:name>ext_wdata</spirit:name>
      <spirit:externalPortReference spirit:portRef="ext_wdata" spirit:left="15" spirit:right="0" />
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="ext_wdata"/>
    </spirit:adHocConnection>


    <spirit:adHocConnection>
      <spirit:name>ext_rdata</spirit:name>
      <spirit:externalPortReference spirit:portRef="ext_rdata" spirit:left="15" spirit:right="0" />
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="ext_rdata"/>
      <spirit:externalPortReference spirit:portRef="ext_rdata"/>
    </spirit:adHocConnection>


    <spirit:adHocConnection>
      <spirit:name>ext_ub</spirit:name>
      <spirit:externalPortReference spirit:portRef="ext_ub"/>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="ext_ub"/>
    </spirit:adHocConnection>


    <spirit:adHocConnection>
      <spirit:name>ext_lb</spirit:name>
      <spirit:externalPortReference spirit:portRef="ext_lb"/>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="ext_lb"/>
    </spirit:adHocConnection>


    <spirit:adHocConnection>
      <spirit:name>ext_rd</spirit:name>
      <spirit:externalPortReference spirit:portRef="ext_rd"/>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="ext_rd"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>ext_stb</spirit:name>
      <spirit:externalPortReference spirit:portRef="ext_stb"/>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="ext_stb"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>ext_wr</spirit:name>
      <spirit:externalPortReference spirit:portRef="ext_wr"/>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="ext_wr"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>ext_cs</spirit:name>
      <spirit:externalPortReference spirit:portRef="ext_cs" spirit:left="1" spirit:right="0" />
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="ext_cs"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>ext_wait</spirit:name>
      <spirit:externalPortReference spirit:portRef="ext_wait"/>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="ext_wait"/>
    </spirit:adHocConnection>


    <spirit:adHocConnection>
      <spirit:name></spirit:name>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="pic_irq"/>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="pic_nmi"/>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="ms_left"/>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="ms_mid"/>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="ms_right"/>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="new_packet"/>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="pic_irq"/>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="pic_nmi"/>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="vga_hsync_n_pad_out"/>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="vga_vsync_n_pad_out"/>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="vga_blue_pad_out"/>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="vga_green_pad_out"/>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="vga_red_pad_out"/>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="gpio_0_oe"/>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="gpio_1_oe"/>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="x_pos"/>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="y_pos"/>
    </spirit:adHocConnection>




    <spirit:adHocConnection   spirit:tiedValue="1'b1" >
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="uart_rxd_pad_in"/>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="ps2_clk_pad_in"/>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="ps2_data_pad_in"/>
      <spirit:internalPortReference spirit:componentRef="pg00_ram_l" spirit:portRef="cs"/>
      <spirit:internalPortReference spirit:componentRef="pg00_ram_h" spirit:portRef="cs"/>
    </spirit:adHocConnection>


    <spirit:adHocConnection   spirit:tiedValue="'b0" >
      <spirit:internalPortReference spirit:componentRef="boot_rom" spirit:portRef="wr"/>
      <spirit:internalPortReference spirit:componentRef="boot_rom" spirit:portRef="wdata"/>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="cts_pad_in"/>
    </spirit:adHocConnection>



</spirit:adHocConnections>




<spirit:componentInstances>


<spirit:componentInstance>
<spirit:instanceName>io_module</spirit:instanceName>
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="io" spirit:name="io_module" spirit:version="def"/>
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="BASE_WIDTH">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="ADDR_WIDTH">12</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>


  
   

     

<spirit:componentInstance>
<spirit:instanceName>micro_bus</spirit:instanceName>
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="logic" spirit:name="micro_bus" spirit:version="def"/>
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="ADD">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="CH0_BITS">8</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="CH0_MATCH">8'h00</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="CH1_BITS">4</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="CH1_MATCH">4'h0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="CH2_BITS">4</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="CH2_MATCH">4'h8</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="CH3_BITS">4</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="CH3_MATCH">4'hc</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="CH4_BITS">4</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="CH4_MATCH">4'hf</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="CH5_BITS">4</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="CH5_MATCH">4'h4</spirit:configurableElementValue>
</spirit:configurableElementValues>

</spirit:componentInstance>




<spirit:componentInstance>
<spirit:instanceName>boot_rom</spirit:instanceName>
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="sram" spirit:version="dp"/>
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="WIDTH">16</spirit:configurableElementValue>
  <spirit:configurableElementValue spirit:referenceId="ADDR">ROM_ADD</spirit:configurableElementValue>
      <spirit:configurableElementValue spirit:referenceId="WORDS">ROM_WORDS</spirit:configurableElementValue>
      <spirit:configurableElementValue spirit:referenceId="DEFAULT">16'hffff</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>




<spirit:componentInstance>
<spirit:instanceName>sh_prog_rom</spirit:instanceName>
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="sram" spirit:version="dp"/>
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="ADDR">PROG_ROM_ADD</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="WORDS">PROG_ROM_WORDS</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="DEFAULT">16'hffff</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>



<spirit:componentInstance>
<spirit:instanceName>data_ram</spirit:instanceName>
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="sram" spirit:version="word"/>
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="ADDR">RAM_ADD</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="WORDS">RAM_WORDS</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="DEFAULT">16'hffff</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>




<spirit:componentInstance>
<spirit:instanceName>pg00_ram_l</spirit:instanceName>
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="sram" spirit:version="dp"/>
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="WIDTH">8</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="ADDR">7</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="WORDS">128</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="DEFAULT">8'hff</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>


<spirit:componentInstance>
<spirit:instanceName>pg00_ram_h</spirit:instanceName>
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="sram" spirit:version="dp"/>
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="WIDTH">8</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="ADDR">7</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="WORDS">128</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="DEFAULT">8'hff</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>



</spirit:componentInstances>
















</spirit:design>

Go to most recent revision | Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.