OpenCores
URL https://opencores.org/ocsvn/socgen/socgen/trunk

Subversion Repositories socgen

[/] [socgen/] [trunk/] [Projects/] [opencores.org/] [io/] [ip/] [io_module/] [rtl/] [xml/] [io_module_def.design.xml] - Rev 131

Go to most recent revision | Compare with Previous | Blame | View Log

<?xml version="1.0" encoding="UTF-8"?>
<!--
//                                                                        //
// Author : John Eaton  Ouabache Designworks                              //
//                                                                        //
//   Copyright (C) 2010 Authors and OPENCORES.ORG                         //
//                                                                        //
//   This source file may be used and distributed without                 //
//   restriction provided that this copyright statement is not            //
//   removed from the file and that any derivative work contains          //
//   the original copyright notice and the associated disclaimer.         //
//                                                                        //
//   This source file is free software; you can redistribute it           //
//   and/or modify it under the terms of the GNU Lesser General           //
//   Public License as published by the Free Software Foundation;         //
//   either version 2.1 of the License, or (at your option) any           //
//   later version.                                                       //
//                                                                        //
//   This source is distributed in the hope that it will be               //
//   useful, but WITHOUT ANY WARRANTY; without even the implied           //
//   warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR              //
//   PURPOSE. See the GNU Lesser General Public License for more          //
//   details.                                                             //
//                                                                        //
//   You should have received a copy of the GNU Lesser General            //
//   Public License along with this source; if not, download it           //
//   from http://www.opencores.org/lgpl.shtml                             //
//                                                                        //
-->
<spirit:design 
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">

<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>io</spirit:library>
<spirit:name>io_module</spirit:name>
<spirit:version>def.design</spirit:version>  


 <spirit:interconnections>

    <spirit:interconnection>
      <spirit:name>mas_0</spirit:name>
       <spirit:activeInterface spirit:componentRef="mb_exp" spirit:busRef="mas_0"></spirit:activeInterface>
       <spirit:activeInterface spirit:componentRef="gpio" spirit:busRef="mb">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>rdata</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort>
          <spirit:wire><spirit:vector><spirit:left>7</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>

    <spirit:interconnection>
      <spirit:name>mas_1</spirit:name>
       <spirit:activeInterface spirit:componentRef="mb_exp" spirit:busRef="mas_1"></spirit:activeInterface>
       <spirit:activeInterface spirit:componentRef="tim_0" spirit:busRef="mb">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>rdata</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort>
          <spirit:wire><spirit:vector><spirit:left>7</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>




    <spirit:interconnection>
      <spirit:name>mas_2</spirit:name>
       <spirit:activeInterface spirit:componentRef="mb_exp" spirit:busRef="mas_2"></spirit:activeInterface>
       <spirit:activeInterface spirit:componentRef="uart" spirit:busRef="mb">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>rdata</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort>
          <spirit:wire><spirit:vector><spirit:left>7</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>



    <spirit:interconnection>
      <spirit:name>mas_3</spirit:name>
       <spirit:activeInterface spirit:componentRef="mb_exp" spirit:busRef="mas_3"></spirit:activeInterface>
       <spirit:activeInterface spirit:componentRef="pic" spirit:busRef="mb">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>rdata</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort>
          <spirit:wire><spirit:vector><spirit:left>7</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>

    <spirit:interconnection>
      <spirit:name>mas_4</spirit:name>
       <spirit:activeInterface spirit:componentRef="mb_exp" spirit:busRef="mas_4"></spirit:activeInterface>
       <spirit:activeInterface spirit:componentRef="ps2" spirit:busRef="mb">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>rdata</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort>
          <spirit:wire><spirit:vector><spirit:left>7</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>

    <spirit:interconnection>
      <spirit:name>mas_5</spirit:name>
       <spirit:activeInterface spirit:componentRef="mb_exp" spirit:busRef="mas_5"></spirit:activeInterface>
       <spirit:activeInterface spirit:componentRef="utimer" spirit:busRef="mb">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>rdata</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort>
          <spirit:wire><spirit:vector><spirit:left>7</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>

    <spirit:interconnection>
      <spirit:name>mas_6</spirit:name>
       <spirit:activeInterface spirit:componentRef="mb_exp" spirit:busRef="mas_6"></spirit:activeInterface>
       <spirit:activeInterface spirit:componentRef="vga" spirit:busRef="mb">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>rdata</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort>
          <spirit:wire><spirit:vector><spirit:left>7</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>

    <spirit:interconnection>
      <spirit:name>mas_7</spirit:name>
       <spirit:activeInterface spirit:componentRef="mb_exp" spirit:busRef="mas_7"></spirit:activeInterface>
       <spirit:activeInterface spirit:componentRef="mem" spirit:busRef="mb">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>rdata</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort>
          <spirit:wire><spirit:vector><spirit:left>7</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>

    <spirit:interconnection>
      <spirit:name>mas_8</spirit:name>
       <spirit:activeInterface spirit:componentRef="mb_exp" spirit:busRef="mas_8"></spirit:activeInterface>
       <spirit:activeInterface spirit:componentRef="vic" spirit:busRef="mb">
        <spirit:portMaps>
          <spirit:portMap>
          <spirit:logicalPort><spirit:name>rdata</spirit:name>
          </spirit:logicalPort>
          <spirit:physicalPort>
          <spirit:wire><spirit:vector><spirit:left>7</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
          </spirit:physicalPort>
          </spirit:portMap>
        </spirit:portMaps>
      </spirit:activeInterface>
    </spirit:interconnection>

  </spirit:interconnections>






   <spirit:hierConnections>

    <spirit:hierConnection spirit:interfaceRef="reg_mb" >
       <spirit:interface spirit:componentRef="mb_exp" spirit:busRef="mb_out"/>
    </spirit:hierConnection>

    <spirit:hierConnection spirit:interfaceRef="vga">
      <spirit:interface spirit:componentRef="vga" spirit:busRef="vga"/>
      </spirit:hierConnection>

    <spirit:hierConnection spirit:interfaceRef="ps2">
      <spirit:interface spirit:componentRef="ps2" spirit:busRef="ps2"/>
      </spirit:hierConnection>

    <spirit:hierConnection spirit:interfaceRef="uart">
      <spirit:interface spirit:componentRef="uart" spirit:busRef="uart"/>
      </spirit:hierConnection>

    <spirit:hierConnection spirit:interfaceRef="mem">
      <spirit:interface spirit:componentRef="mem" spirit:busRef="mem"/>
      </spirit:hierConnection>

    <spirit:hierConnection spirit:interfaceRef="ext">
      <spirit:interface spirit:componentRef="mem" spirit:busRef="ext"/>
      </spirit:hierConnection>

    </spirit:hierConnections>












 <spirit:adHocConnections>
    <spirit:adHocConnection>
      <spirit:name>clk</spirit:name>
      <spirit:externalPortReference spirit:portRef="slave_clk_clk"/>
      <spirit:internalPortReference spirit:componentRef="gpio" spirit:portRef="clk"/>
      <spirit:internalPortReference spirit:componentRef="tim_0" spirit:portRef="clk"/>
      <spirit:internalPortReference spirit:componentRef="uart" spirit:portRef="clk"/>
      <spirit:internalPortReference spirit:componentRef="pic" spirit:portRef="clk"/>
      <spirit:internalPortReference spirit:componentRef="ps2" spirit:portRef="clk"/>
      <spirit:internalPortReference spirit:componentRef="utimer" spirit:portRef="clk"/>
      <spirit:internalPortReference spirit:componentRef="vga" spirit:portRef="clk"/>
      <spirit:internalPortReference spirit:componentRef="mem" spirit:portRef="clk"/>
      <spirit:internalPortReference spirit:componentRef="vic" spirit:portRef="clk"/>
      <spirit:internalPortReference spirit:componentRef="mb_exp" spirit:portRef="clk"/>
    </spirit:adHocConnection>


    <spirit:adHocConnection>
      <spirit:name>reset</spirit:name>
      <spirit:externalPortReference spirit:portRef="slave_reset_reset"/>
      <spirit:internalPortReference spirit:componentRef="gpio" spirit:portRef="reset"/>
      <spirit:internalPortReference spirit:componentRef="tim_0" spirit:portRef="reset"/>
      <spirit:internalPortReference spirit:componentRef="uart" spirit:portRef="reset"/>
      <spirit:internalPortReference spirit:componentRef="pic" spirit:portRef="reset"/>
      <spirit:internalPortReference spirit:componentRef="ps2" spirit:portRef="reset"/>
      <spirit:internalPortReference spirit:componentRef="utimer" spirit:portRef="reset"/>
      <spirit:internalPortReference spirit:componentRef="vga" spirit:portRef="reset"/>
      <spirit:internalPortReference spirit:componentRef="mem" spirit:portRef="reset"/>
      <spirit:internalPortReference spirit:componentRef="vic" spirit:portRef="reset"/>
      <spirit:internalPortReference spirit:componentRef="mb_exp" spirit:portRef="reset"/>
    </spirit:adHocConnection>


    <spirit:adHocConnection>
      <spirit:name>enable</spirit:name>
      <spirit:externalPortReference spirit:portRef="slave_enable_enable"/>
      <spirit:internalPortReference spirit:componentRef="gpio" spirit:portRef="enable"/>
      <spirit:internalPortReference spirit:componentRef="tim_0" spirit:portRef="enable"/>
      <spirit:internalPortReference spirit:componentRef="uart" spirit:portRef="enable"/>
      <spirit:internalPortReference spirit:componentRef="pic" spirit:portRef="enable"/>
      <spirit:internalPortReference spirit:componentRef="ps2" spirit:portRef="enable"/>
      <spirit:internalPortReference spirit:componentRef="utimer" spirit:portRef="enable"/>
      <spirit:internalPortReference spirit:componentRef="vga" spirit:portRef="enable"/>
      <spirit:internalPortReference spirit:componentRef="mem" spirit:portRef="enable"/>
      <spirit:internalPortReference spirit:componentRef="vic" spirit:portRef="enable"/>
      <spirit:internalPortReference spirit:componentRef="mb_exp" spirit:portRef="enable"/>
    </spirit:adHocConnection>



    <spirit:adHocConnection>
      <spirit:name>gpio_0_out</spirit:name>
      <spirit:externalPortReference spirit:portRef="gpio_0_out"/>
      <spirit:internalPortReference spirit:componentRef="gpio" spirit:portRef="gpio_0_out"/>
    </spirit:adHocConnection>


    <spirit:adHocConnection>
      <spirit:name>gpio_0_oe</spirit:name>
      <spirit:externalPortReference spirit:portRef="gpio_0_oe"/>
      <spirit:internalPortReference spirit:componentRef="gpio" spirit:portRef="gpio_0_oe"/>
    </spirit:adHocConnection>




    <spirit:adHocConnection>
      <spirit:name>gpio_0_in</spirit:name>
      <spirit:externalPortReference spirit:portRef="gpio_0_in"/>
      <spirit:internalPortReference spirit:componentRef="gpio" spirit:portRef="gpio_0_in"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>gpio_1_out</spirit:name>
      <spirit:externalPortReference spirit:portRef="gpio_1_out"/>
      <spirit:internalPortReference spirit:componentRef="gpio" spirit:portRef="gpio_1_out"/>
    </spirit:adHocConnection>


    <spirit:adHocConnection>
      <spirit:name>gpio_1_oe</spirit:name>
      <spirit:externalPortReference spirit:portRef="gpio_1_oe"/>
      <spirit:internalPortReference spirit:componentRef="gpio" spirit:portRef="gpio_1_oe"/>
    </spirit:adHocConnection>


    <spirit:adHocConnection>
      <spirit:name>gpio_1_in</spirit:name>
      <spirit:externalPortReference spirit:portRef="gpio_1_in"/>
      <spirit:internalPortReference spirit:componentRef="gpio" spirit:portRef="gpio_1_in"/>
    </spirit:adHocConnection>


    <spirit:adHocConnection>
      <spirit:name>timer_irq</spirit:name>
      <spirit:externalPortReference spirit:portRef="timer_irq"/>
      <spirit:internalPortReference spirit:componentRef="tim_0" spirit:portRef="irq"/>
    </spirit:adHocConnection>


    <spirit:adHocConnection>
      <spirit:name>cts_pad_in</spirit:name>
      <spirit:externalPortReference spirit:portRef="cts_pad_in"/>
      <spirit:internalPortReference spirit:componentRef="uart" spirit:portRef="cts_pad_in"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>rts_pad_out</spirit:name>
      <spirit:externalPortReference spirit:portRef="rts_pad_out"/>
      <spirit:internalPortReference spirit:componentRef="uart" spirit:portRef="rts_pad_out"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>rx_irq</spirit:name>
      <spirit:externalPortReference spirit:portRef="rx_irq"/>
      <spirit:internalPortReference spirit:componentRef="uart" spirit:portRef="rx_irq"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>tx_irq</spirit:name>
      <spirit:externalPortReference spirit:portRef="tx_irq"/>
      <spirit:internalPortReference spirit:componentRef="uart" spirit:portRef="tx_irq"/>
    </spirit:adHocConnection>


    <spirit:adHocConnection>
      <spirit:name></spirit:name>
      <spirit:internalPortReference spirit:componentRef="uart" spirit:portRef="rxd_data_avail_IRQ"/>
      <spirit:internalPortReference spirit:componentRef="uart" spirit:portRef="txd_buffer_empty_NIRQ"/>
    </spirit:adHocConnection>




    <spirit:adHocConnection>
      <spirit:name>pic_irq_in</spirit:name>
      <spirit:externalPortReference spirit:portRef="pic_irq_in"/>
      <spirit:internalPortReference spirit:componentRef="pic" spirit:portRef="int_in"/>
    </spirit:adHocConnection>


    <spirit:adHocConnection>
      <spirit:name>pic_irq</spirit:name>
      <spirit:externalPortReference spirit:portRef="pic_irq"/>
      <spirit:internalPortReference spirit:componentRef="pic" spirit:portRef="irq_out"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>pic_nmi</spirit:name>
      <spirit:externalPortReference spirit:portRef="pic_nmi"/>
      <spirit:internalPortReference spirit:componentRef="pic" spirit:portRef="nmi_out"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>ps2_data_avail</spirit:name>
      <spirit:externalPortReference spirit:portRef="ps2_data_avail"/>
      <spirit:internalPortReference spirit:componentRef="ps2" spirit:portRef="rcv_data_avail"/>
    </spirit:adHocConnection>


    <spirit:adHocConnection>
      <spirit:name>y_pos</spirit:name>
      <spirit:externalPortReference spirit:portRef="y_pos"/>
      <spirit:internalPortReference spirit:componentRef="ps2" spirit:portRef="y_pos"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>x_pos</spirit:name>
      <spirit:externalPortReference spirit:portRef="x_pos"/>
      <spirit:internalPortReference spirit:componentRef="ps2" spirit:portRef="x_pos"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>new_packet</spirit:name>
      <spirit:externalPortReference spirit:portRef="new_packet"/>
      <spirit:internalPortReference spirit:componentRef="ps2" spirit:portRef="new_packet"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>ms_mid</spirit:name>
      <spirit:externalPortReference spirit:portRef="ms_mid"/>
      <spirit:internalPortReference spirit:componentRef="ps2" spirit:portRef="ms_mid"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>ms_right</spirit:name>
      <spirit:externalPortReference spirit:portRef="ms_right"/>
      <spirit:internalPortReference spirit:componentRef="ps2" spirit:portRef="ms_right"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>ms_left</spirit:name>
      <spirit:externalPortReference spirit:portRef="ms_left"/>
      <spirit:internalPortReference spirit:componentRef="ps2" spirit:portRef="ms_left"/>
    </spirit:adHocConnection>







    <spirit:adHocConnection>
      <spirit:name>vic_irq_in</spirit:name>
      <spirit:externalPortReference spirit:portRef="vic_irq_in"/>
      <spirit:internalPortReference spirit:componentRef="vic" spirit:portRef="int_in"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>int_out</spirit:name>
      <spirit:externalPortReference spirit:portRef="int_out"/>
      <spirit:internalPortReference spirit:componentRef="vic" spirit:portRef="irq_out"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>vector</spirit:name>
      <spirit:externalPortReference spirit:portRef="vector"/>
      <spirit:internalPortReference spirit:componentRef="vic" spirit:portRef="vector"/>
    </spirit:adHocConnection>




  <spirit:adHocConnection>
      <spirit:name>ext_ub</spirit:name>
      <spirit:externalPortReference spirit:portRef="ext_ub"/>
      <spirit:internalPortReference spirit:componentRef="mem" spirit:portRef="ext_ub"/>
    </spirit:adHocConnection>

  <spirit:adHocConnection>
      <spirit:name>ext_lb</spirit:name>
      <spirit:externalPortReference spirit:portRef="ext_lb"/>
      <spirit:internalPortReference spirit:componentRef="mem" spirit:portRef="ext_lb"/>
    </spirit:adHocConnection>




  <spirit:adHocConnection>
      <spirit:name>ext_stb</spirit:name>
      <spirit:externalPortReference spirit:portRef="ext_stb"/>
      <spirit:internalPortReference spirit:componentRef="mem" spirit:portRef="ext_stb"/>
    </spirit:adHocConnection>


  <spirit:adHocConnection>
      <spirit:name></spirit:name>
      <spirit:internalPortReference spirit:componentRef="mem" spirit:portRef="bank"/>
      <spirit:internalPortReference spirit:componentRef="mem" spirit:portRef="wait_st"/>
    </spirit:adHocConnection>


 </spirit:adHocConnections>



<spirit:componentInstances>




<spirit:componentInstance>
<spirit:instanceName>mb_exp</spirit:instanceName>
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="logic" spirit:name="micro_bus" spirit:version="exp9"   />
</spirit:componentInstance>


   
<spirit:componentInstance>
<spirit:instanceName>gpio</spirit:instanceName>
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="io" spirit:name="io_gpio" spirit:version="def"   />
</spirit:componentInstance>


<spirit:componentInstance>
<spirit:instanceName>tim_0</spirit:instanceName>
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="io" spirit:name="io_timer" spirit:version="def"   />
</spirit:componentInstance>

<spirit:componentInstance>
<spirit:instanceName>uart</spirit:instanceName>
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="io" spirit:name="io_uart" spirit:version="def"   />
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="PRESCALE">UART_PRESCALE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PRE_SIZE">UART_PRE_SIZE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="DIV">UART_DIV</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>

<spirit:componentInstance>
<spirit:instanceName>pic</spirit:instanceName>
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="io" spirit:name="io_pic" spirit:version="def"   />
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="NMI_MODE">NMI_MODE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="IRQ_MODE">IRQ_MODE</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>


<spirit:componentInstance>
<spirit:instanceName>ps2</spirit:instanceName>
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="io" spirit:name="io_ps2" spirit:version="mouse"   />
</spirit:componentInstance>



<spirit:componentInstance>
<spirit:instanceName>utimer</spirit:instanceName>
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="io" spirit:name="io_utimer" spirit:version="def"   />
</spirit:componentInstance>






<spirit:componentInstance>
<spirit:instanceName>vga</spirit:instanceName>
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="io" spirit:name="io_vga" spirit:version="def"   />
<spirit:configurableElementValues>
</spirit:configurableElementValues>
</spirit:componentInstance>



<spirit:componentInstance>
<spirit:instanceName>mem</spirit:instanceName>
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="io" spirit:name="io_ext_mem_interface" spirit:version="def"   />
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="BASE_ADDR">4'h7</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="BASE_WIDTH">4</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="ADDR_WIDTH">8</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>



<spirit:componentInstance>
<spirit:instanceName>vic</spirit:instanceName>
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="io" spirit:name="io_vic" spirit:version="def"   />
</spirit:componentInstance>







</spirit:componentInstances>
                








</spirit:design>





Go to most recent revision | Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.