OpenCores
URL https://opencores.org/ocsvn/socgen/socgen/trunk

Subversion Repositories socgen

[/] [socgen/] [trunk/] [Projects/] [opencores.org/] [logic/] [ip/] [serial_rcvr/] [rtl/] [verilog/] [no_fifo] - Rev 131

Compare with Previous | Blame | View Log


     
assign data_out  =  shift_buffer;
assign parity_error  =  frame_parity_error;
assign stop_error   =  frame_error;
assign data_avail    =  frame_avail ;

   

 
   




Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.