OpenCores
URL https://opencores.org/ocsvn/socgen/socgen/trunk

Subversion Repositories socgen

[/] [socgen/] [trunk/] [Projects/] [opencores.org/] [wishbone/] [ip/] [wb_uart16550/] [rtl/] [xml/] [wb_uart16550_bus32_lit.xml] - Rev 133

Go to most recent revision | Compare with Previous | Blame | View Log

<?xml version="1.0" encoding="UTF-8"?>
<!--
//                                                                        //
// Author : John Eaton  Ouabache Designworks                              //
//                                                                        //
//   Copyright (C) 2010 Authors and OPENCORES.ORG                         //
//                                                                        //
//   This source file may be used and distributed without                 //
//   restriction provided that this copyright statement is not            //
//   removed from the file and that any derivative work contains          //
//   the original copyright notice and the associated disclaimer.         //
//                                                                        //
//   This source file is free software; you can redistribute it           //
//   and/or modify it under the terms of the GNU Lesser General           //
//   Public License as published by the Free Software Foundation;         //
//   either version 2.1 of the License, or (at your option) any           //
//   later version.                                                       //
//                                                                        //
//   This source is distributed in the hope that it will be               //
//   useful, but WITHOUT ANY WARRANTY; without even the implied           //
//   warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR              //
//   PURPOSE. See the GNU Lesser General Public License for more          //
//   details.                                                             //
//                                                                        //
//   You should have received a copy of the GNU Lesser General            //
//   Public License along with this source; if not, download it           //
//   from http://www.opencores.org/lgpl.shtml                             //
//                                                                        //
-->
<spirit:component 
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">

<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>wishbone</spirit:library>
<spirit:name>wb_uart16550</spirit:name>
<spirit:version>bus32_lit</spirit:version>  <spirit:configuration>default</spirit:configuration>  



<spirit:busInterfaces>


 <spirit:busInterface><spirit:name>wb_clk</spirit:name>
  <spirit:busType spirit:vendor="opencores.org" spirit:library="Busdefs" spirit:name="clock" spirit:version="def"/>
  <spirit:abstractionType spirit:vendor="opencores.org" spirit:library="Busdefs" spirit:name="clock" spirit:version="rtl"/>
  <spirit:slave/>
    <spirit:portMaps>
      <spirit:portMap>
        <spirit:logicalPort><spirit:name>clk</spirit:name></spirit:logicalPort>
        <spirit:physicalPort><spirit:name>wb_clk_i</spirit:name></spirit:physicalPort>
      </spirit:portMap>
    </spirit:portMaps>
 </spirit:busInterface>


 <spirit:busInterface><spirit:name>wb_reset</spirit:name>
  <spirit:busType spirit:vendor="opencores.org" spirit:library="Busdefs" spirit:name="reset" spirit:version="def"/>
  <spirit:abstractionType spirit:vendor="opencores.org" spirit:library="Busdefs" spirit:name="reset" spirit:version="rtl"/>
  <spirit:slave/>
    <spirit:portMaps>
      <spirit:portMap>
        <spirit:logicalPort><spirit:name>reset</spirit:name></spirit:logicalPort>
        <spirit:physicalPort><spirit:name>wb_rst_i</spirit:name></spirit:physicalPort>
      </spirit:portMap>
    </spirit:portMaps>
 </spirit:busInterface>




<spirit:busInterface><spirit:name>wb</spirit:name>
   <spirit:busType spirit:vendor="opencores.org" spirit:library="wishbone" spirit:name="wishbone" spirit:version="def"/>
   <spirit:abstractionType spirit:vendor="opencores.org" spirit:library="wishbone" spirit:name="wishbone" spirit:version="rtl"/>
   <spirit:endianness>little</spirit:endianness>
   <spirit:bitsInLau>8</spirit:bitsInLau>
   <spirit:slave><spirit:memoryMapRef spirit:memoryMapRef="wb"/>  </spirit:slave>
     <spirit:portMaps>

        <spirit:portMap>
         <spirit:logicalPort><spirit:name>adr</spirit:name>
         </spirit:logicalPort>
         <spirit:physicalPort><spirit:name>wb_adr_i</spirit:name>
           <spirit:wire><spirit:vector><spirit:left>7</spirit:left><spirit:right>2</spirit:right></spirit:vector></spirit:wire>
         </spirit:physicalPort>
       </spirit:portMap>


        <spirit:portMap>
         <spirit:logicalPort><spirit:name>wdata</spirit:name>
         </spirit:logicalPort>
         <spirit:physicalPort><spirit:name>wb_dat_i</spirit:name>
           <spirit:wire><spirit:vector><spirit:left>31</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
         </spirit:physicalPort>
       </spirit:portMap>


        <spirit:portMap>
         <spirit:logicalPort><spirit:name>rdata</spirit:name>
         </spirit:logicalPort>
         <spirit:physicalPort><spirit:name>wb_dat_o</spirit:name>
           <spirit:wire><spirit:vector><spirit:left>31</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
         </spirit:physicalPort>
       </spirit:portMap>


        <spirit:portMap>
         <spirit:logicalPort><spirit:name>sel</spirit:name>
         </spirit:logicalPort>
         <spirit:physicalPort><spirit:name>wb_sel_i</spirit:name>
           <spirit:wire><spirit:vector><spirit:left>3</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
         </spirit:physicalPort>
       </spirit:portMap>



        <spirit:portMap>
         <spirit:logicalPort><spirit:name>ack</spirit:name>
         </spirit:logicalPort>
         <spirit:physicalPort><spirit:name>wb_ack_o</spirit:name>
         </spirit:physicalPort>
       </spirit:portMap>


        <spirit:portMap>
         <spirit:logicalPort><spirit:name>cyc</spirit:name>
         </spirit:logicalPort>
         <spirit:physicalPort><spirit:name>wb_cyc_i</spirit:name>
         </spirit:physicalPort>
       </spirit:portMap>



        <spirit:portMap>
         <spirit:logicalPort><spirit:name>stb</spirit:name>
         </spirit:logicalPort>
         <spirit:physicalPort><spirit:name>wb_stb_i</spirit:name>
         </spirit:physicalPort>
       </spirit:portMap>


        <spirit:portMap>
         <spirit:logicalPort><spirit:name>we</spirit:name>
         </spirit:logicalPort>
         <spirit:physicalPort><spirit:name>wb_we_i</spirit:name>
         </spirit:physicalPort>
       </spirit:portMap>





     </spirit:portMaps>

</spirit:busInterface>

</spirit:busInterfaces>






<spirit:componentGenerators>


<spirit:componentGenerator>
  <spirit:name>elab_verilog</spirit:name>
  <spirit:phase>102.1</spirit:phase>
  <spirit:apiType>none</spirit:apiType>
  <spirit:vendorExtensions><socgen:envIdentifier>:*Simulation:*</socgen:envIdentifier></spirit:vendorExtensions>
  <spirit:generatorExe>./tools/verilog/elab_verilog</spirit:generatorExe>
    <spirit:parameters>
    <spirit:parameter> 
      <spirit:name>dest_dir</spirit:name>
      <spirit:value>io_ports</spirit:value>
    </spirit:parameter>
  </spirit:parameters>
</spirit:componentGenerator>




<spirit:componentGenerator>
  <spirit:name>gen_registers</spirit:name>
  <spirit:phase>102.1</spirit:phase>
  <spirit:apiType>none</spirit:apiType>
  <spirit:vendorExtensions><socgen:envIdentifier>common</socgen:envIdentifier></spirit:vendorExtensions>
  <spirit:generatorExe>./tools/regtool/gen_registers</spirit:generatorExe>
    <spirit:parameters>
    <spirit:parameter> 
      <spirit:name>bus_intf</spirit:name>
      <spirit:value>wb</spirit:value>
    </spirit:parameter>
    <spirit:parameter> 
      <spirit:name>dest_dir</spirit:name>
      <spirit:value>../verilog</spirit:value>
    </spirit:parameter>
  </spirit:parameters>    
</spirit:componentGenerator>




<spirit:componentGenerator>
  <spirit:name>gen_verilog</spirit:name>
  <spirit:phase>104.0</spirit:phase>
  <spirit:apiType>none</spirit:apiType>
  <spirit:vendorExtensions><socgen:envIdentifier>common</socgen:envIdentifier></spirit:vendorExtensions>
  <spirit:generatorExe>./tools/verilog/gen_verilog</spirit:generatorExe>
  <spirit:parameters>
    <spirit:parameter> 
      <spirit:name>destination</spirit:name>
      <spirit:value>top.bus32_lit</spirit:value>
    </spirit:parameter>
    <spirit:parameter> 
      <spirit:name>dest_dir</spirit:name>
      <spirit:value>../verilog</spirit:value>
    </spirit:parameter>
  </spirit:parameters>
</spirit:componentGenerator>




</spirit:componentGenerators>


  <spirit:fileSets>


    <spirit:fileSet>
      <spirit:name>fs-common</spirit:name>

      <spirit:file>
        <spirit:logicalName></spirit:logicalName>
        <spirit:name>../verilog/top.body</spirit:name>
        <spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>fragment</spirit:userFileType>
      </spirit:file>

    </spirit:fileSet>



    <spirit:fileSet>
      <spirit:name>fs-sim</spirit:name>
      <spirit:file>
        <spirit:logicalName></spirit:logicalName>
        <spirit:name>../verilog/copyright.v</spirit:name>
        <spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>include</spirit:userFileType>
      </spirit:file>

      <spirit:file>
        <spirit:logicalName></spirit:logicalName>
        <spirit:name>../verilog/common/top.bus32_lit</spirit:name>
        <spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
      </spirit:file>


      <spirit:file>
        <spirit:logicalName></spirit:logicalName>
        <spirit:name>../verilog/defines</spirit:name>
        <spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>include</spirit:userFileType>
      </spirit:file>

      <spirit:file>
        <spirit:logicalName>wb</spirit:logicalName>
        <spirit:name>../verilog/wb_uart16550_bus32_lit_wb</spirit:name>
        <spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
      </spirit:file>


      <spirit:file>
        <spirit:logicalName>raminfr</spirit:logicalName>
        <spirit:name>../verilog/raminfr</spirit:name>
        <spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
      </spirit:file>

      <spirit:file>
        <spirit:logicalName>receiver</spirit:logicalName>
        <spirit:name>../verilog/receiver</spirit:name>
        <spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
      </spirit:file>

      <spirit:file>
        <spirit:logicalName>regs</spirit:logicalName>
        <spirit:name>../verilog/regs</spirit:name>
        <spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
      </spirit:file>

      <spirit:file>
        <spirit:logicalName>rfifo</spirit:logicalName>
        <spirit:name>../verilog/rfifo</spirit:name>
        <spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
      </spirit:file>

      <spirit:file>
        <spirit:logicalName>sync_flops</spirit:logicalName>
        <spirit:name>../verilog/sync_flops</spirit:name>
        <spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
      </spirit:file>

      <spirit:file>
        <spirit:logicalName>tfifo</spirit:logicalName>
        <spirit:name>../verilog/tfifo</spirit:name>
        <spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
      </spirit:file>

      <spirit:file>
        <spirit:logicalName>transmitter</spirit:logicalName>
        <spirit:name>../verilog/transmitter</spirit:name>
        <spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
      </spirit:file>

      <spirit:file>
        <spirit:logicalName>wb_fsm</spirit:logicalName>
        <spirit:name>../verilog/wb_fsm</spirit:name>
        <spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
      </spirit:file>



    </spirit:fileSet>


    <spirit:fileSet>
      <spirit:name>fs-syn</spirit:name>
      <spirit:file>
        <spirit:logicalName></spirit:logicalName>
        <spirit:name>../verilog/copyright.v</spirit:name>
        <spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>include</spirit:userFileType>
      </spirit:file>

      <spirit:file>
        <spirit:logicalName></spirit:logicalName>
        <spirit:name>../verilog/common/top.bus32_lit</spirit:name>
        <spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
      </spirit:file>


      <spirit:file>
        <spirit:logicalName></spirit:logicalName>
        <spirit:name>../verilog/defines</spirit:name>
        <spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>include</spirit:userFileType>
      </spirit:file>

      <spirit:file>
        <spirit:logicalName>wb</spirit:logicalName>
        <spirit:name>../verilog/wb_uart16550_bus32_lit_wb</spirit:name>
        <spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
      </spirit:file>


      <spirit:file>
        <spirit:logicalName>raminfr</spirit:logicalName>
        <spirit:name>../verilog/raminfr</spirit:name>
        <spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
      </spirit:file>

      <spirit:file>
        <spirit:logicalName>receiver</spirit:logicalName>
        <spirit:name>../verilog/receiver</spirit:name>
        <spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
      </spirit:file>

      <spirit:file>
        <spirit:logicalName>regs</spirit:logicalName>
        <spirit:name>../verilog/regs</spirit:name>
        <spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
      </spirit:file>

      <spirit:file>
        <spirit:logicalName>rfifo</spirit:logicalName>
        <spirit:name>../verilog/rfifo</spirit:name>
        <spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
      </spirit:file>

      <spirit:file>
        <spirit:logicalName>sync_flops</spirit:logicalName>
        <spirit:name>../verilog/sync_flops</spirit:name>
        <spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
      </spirit:file>

      <spirit:file>
        <spirit:logicalName>tfifo</spirit:logicalName>
        <spirit:name>../verilog/tfifo</spirit:name>
        <spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
      </spirit:file>

      <spirit:file>
        <spirit:logicalName>transmitter</spirit:logicalName>
        <spirit:name>../verilog/transmitter</spirit:name>
        <spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
      </spirit:file>

      <spirit:file>
        <spirit:logicalName>wb_fsm</spirit:logicalName>
        <spirit:name>../verilog/wb_fsm</spirit:name>
        <spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
      </spirit:file>



    </spirit:fileSet>


  </spirit:fileSets>





<spirit:model>
       <spirit:views>

              <spirit:view>
              <spirit:name>verilog</spirit:name>              
              <spirit:vendorExtensions>
              <spirit:componentRef spirit:vendor="opencores.org" 
                                   spirit:library="Testbench" 
                                   spirit:name="toolflow" 
                                   spirit:version="verilog"/> 
              </spirit:vendorExtensions>
              </spirit:view>





              <spirit:view>
              <spirit:name>common</spirit:name><spirit:envIdentifier>common</spirit:envIdentifier>
              
              <spirit:language>Verilog</spirit:language>
              <spirit:modelName></spirit:modelName>
                     <spirit:fileSetRef>
                            <spirit:localName>fs-common</spirit:localName>
                     </spirit:fileSetRef>
              </spirit:view>


              <spirit:view>
              <spirit:name>sim</spirit:name><spirit:envIdentifier>:*Simulation:*</spirit:envIdentifier>
              
              <spirit:language>Verilog</spirit:language>
              <spirit:modelName></spirit:modelName>
                     <spirit:fileSetRef>
                            <spirit:localName>fs-sim</spirit:localName>
                     </spirit:fileSetRef>
              </spirit:view>

              <spirit:view>
              <spirit:name>syn</spirit:name><spirit:envIdentifier>:*Synthesis:*</spirit:envIdentifier>
              
              <spirit:language>Verilog</spirit:language>
              <spirit:modelName></spirit:modelName>
                     <spirit:fileSetRef>
                            <spirit:localName>fs-syn</spirit:localName>
                     </spirit:fileSetRef>
              </spirit:view>



              <spirit:view>
              <spirit:name>doc</spirit:name>
              <spirit:vendorExtensions>
              <spirit:componentRef spirit:vendor="opencores.org" 
                                   spirit:library="Testbench" 
                                   spirit:name="toolflow" 
                                   spirit:version="documentation"/> 
              </spirit:vendorExtensions>
              <spirit:envIdentifier>:*Documentation:*</spirit:envIdentifier>
              <spirit:language>Verilog</spirit:language>
              </spirit:view>

      </spirit:views>




<spirit:modelParameters>
<spirit:modelParameter><spirit:name>wb_addr_width</spirit:name><spirit:value>8</spirit:value></spirit:modelParameter>
<spirit:modelParameter><spirit:name>wb_data_width</spirit:name><spirit:value>32</spirit:value></spirit:modelParameter>
<spirit:modelParameter><spirit:name>wb_byte_lanes</spirit:name><spirit:value>4</spirit:value></spirit:modelParameter>
<spirit:modelParameter><spirit:name>PRESCALER_PRESET</spirit:name><spirit:value>16'h1234</spirit:value></spirit:modelParameter>
</spirit:modelParameters>


<spirit:ports>

<spirit:port><spirit:name>baud_o</spirit:name>
  <spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
  <spirit:wire><spirit:direction>out</spirit:direction></spirit:wire>
</spirit:port>

<spirit:port><spirit:name>cts_pad_i</spirit:name>
  <spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
  <spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>

<spirit:port><spirit:name>dcd_pad_i</spirit:name>
  <spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
  <spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>

<spirit:port><spirit:name>dsr_pad_i</spirit:name>
  <spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
  <spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>

<spirit:port><spirit:name>dtr_pad_o</spirit:name>
  <spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
  <spirit:wire><spirit:direction>out</spirit:direction></spirit:wire>
</spirit:port>

<spirit:port><spirit:name>int_o</spirit:name>
  <spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
  <spirit:wire><spirit:direction>out</spirit:direction></spirit:wire>
</spirit:port>


<spirit:port><spirit:name>ri_pad_i</spirit:name>
  <spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
  <spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>

<spirit:port><spirit:name>rts_pad_o</spirit:name>
  <spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
  <spirit:wire><spirit:direction>out</spirit:direction></spirit:wire>
</spirit:port>

<spirit:port><spirit:name>srx_pad_i</spirit:name>
  <spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
  <spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>

<spirit:port><spirit:name>stx_pad_o</spirit:name>
  <spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
  <spirit:wire><spirit:direction>out</spirit:direction></spirit:wire>
</spirit:port>




</spirit:ports>

</spirit:model>



<spirit:memoryMaps>
<spirit:memoryMap>
 <spirit:name>wb</spirit:name>
<spirit:addressUnitBits>8</spirit:addressUnitBits>
<spirit:bank>
 <spirit:name>wb</spirit:name>
 <spirit:baseAddress>0x00</spirit:baseAddress>
 
  <spirit:addressBlock>
  <spirit:name>mb_microbus</spirit:name>
  <spirit:range>0x100</spirit:range>
  <spirit:width>32</spirit:width>


 <spirit:register>
   <spirit:name>rb_dll_reg</spirit:name>
   <spirit:addressOffset>0x0</spirit:addressOffset>
   <spirit:size>8</spirit:size>
   <spirit:access>read-only</spirit:access>
  </spirit:register>

 <spirit:register>
   <spirit:name>tr_reg</spirit:name>
   <spirit:addressOffset>0x0</spirit:addressOffset>
   <spirit:size>8</spirit:size>
   <spirit:access>write-strobe</spirit:access>
  </spirit:register>


 <spirit:register>
   <spirit:name>ie_dlh_reg</spirit:name>
   <spirit:addressOffset>0x1</spirit:addressOffset>
   <spirit:size>8</spirit:size>
   <spirit:access>read-only</spirit:access>
  </spirit:register>

 <spirit:register>
   <spirit:name>ie_reg</spirit:name>
   <spirit:addressOffset>0x1</spirit:addressOffset>
   <spirit:size>4</spirit:size>
   <spirit:access>write-strobe</spirit:access>
  </spirit:register>


 <spirit:register>
   <spirit:name>dll_reg</spirit:name>
   <spirit:addressOffset>0x0</spirit:addressOffset>
   <spirit:size>8</spirit:size>
   <spirit:access>write-strobe</spirit:access>
  </spirit:register>


 <spirit:register>
   <spirit:name>dlh_reg</spirit:name>
   <spirit:addressOffset>0x1</spirit:addressOffset>
   <spirit:size>8</spirit:size>
   <spirit:access>write-strobe</spirit:access>
  </spirit:register>




 <spirit:register>
   <spirit:name>ii_reg</spirit:name>
   <spirit:addressOffset>0x2</spirit:addressOffset>
   <spirit:size>4</spirit:size>
   <spirit:access>read-only</spirit:access>
  </spirit:register>

 <spirit:register>
   <spirit:name>fc_reg</spirit:name>
   <spirit:addressOffset>0x2</spirit:addressOffset>
   <spirit:size>8</spirit:size>
   <spirit:access>write-only</spirit:access>
  </spirit:register>


 <spirit:register>
   <spirit:name>lc_reg</spirit:name>
   <spirit:addressOffset>0x3</spirit:addressOffset>
   <spirit:size>8</spirit:size>
   <spirit:access>read-write</spirit:access>
  </spirit:register>

 <spirit:register>
   <spirit:name>mc_reg</spirit:name>
   <spirit:addressOffset>0x4</spirit:addressOffset>
   <spirit:size>5</spirit:size>
   <spirit:access>read-write</spirit:access>
  </spirit:register>

 <spirit:register>
   <spirit:name>ls_reg</spirit:name>
   <spirit:addressOffset>0x5</spirit:addressOffset>
   <spirit:size>8</spirit:size>
   <spirit:access>read-only</spirit:access>
  </spirit:register>

 <spirit:register>
   <spirit:name>ms_reg</spirit:name>
   <spirit:addressOffset>0x6</spirit:addressOffset>
   <spirit:size>8</spirit:size>
   <spirit:access>read-only</spirit:access>
  </spirit:register>

 <spirit:register>
   <spirit:name>sr_reg</spirit:name>
   <spirit:addressOffset>0x7</spirit:addressOffset>
   <spirit:size>8</spirit:size>
   <spirit:access>read-write</spirit:access>
  </spirit:register>


 <spirit:register>
   <spirit:name>debug_0_reg</spirit:name>
   <spirit:addressOffset>0x8</spirit:addressOffset>
   <spirit:size>32</spirit:size>
   <spirit:access>read-only</spirit:access>
  </spirit:register>


 <spirit:register>
   <spirit:name>debug_1_reg</spirit:name>
   <spirit:addressOffset>0xc</spirit:addressOffset>
   <spirit:size>32</spirit:size>
   <spirit:access>read-only</spirit:access>
  </spirit:register>







  </spirit:addressBlock>


</spirit:bank>

</spirit:memoryMap></spirit:memoryMaps>




</spirit:component>

Go to most recent revision | Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.