OpenCores
URL https://opencores.org/ocsvn/ssbcc/ssbcc/trunk

Subversion Repositories ssbcc

[/] [ssbcc/] [trunk/] [lib/] [9x8/] [tb/] [char/] [uc.9x8] - Rev 4

Compare with Previous | Blame | View Log

# Copyright 2013, Sinclair R.F., Inc.
# Test bench for the math libraries.

ARCHITECTURE core/9x8 Verilog

INSTRUCTION     1024
DATA_STACK      32
RETURN_STACK    32

PORTCOMMENT 8-bit test values
OUTPORT 8-bit,strobe   o_value,o_value_wr O_VALUE

PORTCOMMENT termination strobe
OUTPORT strobe  o_terminate_str O_TERMINATE

ASSEMBLY uc.s

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.