OpenCores
URL https://opencores.org/ocsvn/t6507lp/t6507lp/trunk

Subversion Repositories t6507lp

[/] [t6507lp/] [trunk/] [fv/] [alu_mon.e] - Rev 259

Go to most recent revision | Compare with Previous | Blame | View Log

<' 
import alu_components;
 
unit alu_mon_u {
	alu_result: in simple_port of byte;
	alu_status: in simple_port of byte;
	alu_x: in simple_port of byte;
	alu_y: in simple_port of byte;
 
	event main_clk;
 
	on main_clk {
		agent.chk.compare(alu_result$, alu_status$, alu_x$, alu_y$);
 
	};
};
'>
 

Go to most recent revision | Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.