OpenCores
URL https://opencores.org/ocsvn/t6507lp/t6507lp/trunk

Subversion Repositories t6507lp

[/] [t6507lp/] [trunk/] [fv/] [alu_sync.e] - Rev 144

Compare with Previous | Blame | View Log

alu_sync.e;
<'
unit alu_sync_u {
	clk: in event_port is instance;
	keep bind(clk, external);
	keep clk.hdl_path() == "clk";
	keep clk.edge() == fall;
};
'>
 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.