OpenCores
URL https://opencores.org/ocsvn/tm1637/tm1637/trunk

Subversion Repositories tm1637

[/] [tm1637/] [trunk/] [hdl/] [intel_qp/] [dec_counter/] [output_files/] [tm1637.eda.rpt] - Rev 3

Compare with Previous | Blame | View Log

EDA Netlist Writer report for tm1637
Sat Mar 13 16:24:06 2021
Quartus Prime Version 20.1.0 Build 711 06/05/2020 SJ Lite Edition


---------------------
; Table of Contents ;
---------------------
  1. Legal Notice
  2. EDA Netlist Writer Summary
  3. Simulation Settings
  4. Simulation Generated Files
  5. EDA Netlist Writer Messages



----------------
; Legal Notice ;
----------------
Copyright (C) 2020  Intel Corporation. All rights reserved.
Your use of Intel Corporation's design tools, logic functions 
and other software and tools, and any partner logic 
functions, and any output files from any of the foregoing 
(including device programming or simulation files), and any 
associated documentation or information are expressly subject 
to the terms and conditions of the Intel Program License 
Subscription Agreement, the Intel Quartus Prime License Agreement,
the Intel FPGA IP License Agreement, or other applicable license
agreement, including, without limitation, that your use is for
the sole purpose of programming logic devices manufactured by
Intel and sold by Intel or its authorized distributors.  Please
refer to the applicable agreement for further details, at
https://fpgasoftware.intel.com/eula.



+-------------------------------------------------------------------+
; EDA Netlist Writer Summary                                        ;
+---------------------------+---------------------------------------+
; EDA Netlist Writer Status ; Successful - Sat Mar 13 16:24:06 2021 ;
; Revision Name             ; tm1637                                ;
; Top-level Entity Name     ; tm1637_toplevel                       ;
; Family                    ; Cyclone IV E                          ;
; Simulation Files Creation ; Successful                            ;
+---------------------------+---------------------------------------+


+----------------------------------------------------------------------------------------------------------------------------+
; Simulation Settings                                                                                                        ;
+---------------------------------------------------------------------------------------------------+------------------------+
; Option                                                                                            ; Setting                ;
+---------------------------------------------------------------------------------------------------+------------------------+
; Tool Name                                                                                         ; ModelSim-Altera (VHDL) ;
; Generate functional simulation netlist                                                            ; Off                    ;
; Time scale                                                                                        ; 1 ps                   ;
; Truncate long hierarchy paths                                                                     ; Off                    ;
; Map illegal HDL characters                                                                        ; Off                    ;
; Flatten buses into individual nodes                                                               ; Off                    ;
; Maintain hierarchy                                                                                ; Off                    ;
; Bring out device-wide set/reset signals as ports                                                  ; Off                    ;
; Enable glitch filtering                                                                           ; Off                    ;
; Do not write top level VHDL entity                                                                ; Off                    ;
; Disable detection of setup and hold time violations in the input registers of bi-directional pins ; Off                    ;
; Architecture name in VHDL output netlist                                                          ; structure              ;
; Generate third-party EDA tool command script for RTL functional simulation                        ; Off                    ;
; Generate third-party EDA tool command script for gate-level simulation                            ; Off                    ;
+---------------------------------------------------------------------------------------------------+------------------------+


+---------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Simulation Generated Files                                                                                                                                          ;
+---------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Generated Files                                                                                                                                                     ;
+---------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; /home/mongoq/projects/fpga/tm1637-opencores/opencores-online-repository/tm1637/trunk/hdl/intel_qp/dec_counter/simulation/modelsim/tm1637_8_1200mv_85c_slow.vho      ;
; /home/mongoq/projects/fpga/tm1637-opencores/opencores-online-repository/tm1637/trunk/hdl/intel_qp/dec_counter/simulation/modelsim/tm1637_8_1200mv_0c_slow.vho       ;
; /home/mongoq/projects/fpga/tm1637-opencores/opencores-online-repository/tm1637/trunk/hdl/intel_qp/dec_counter/simulation/modelsim/tm1637_min_1200mv_0c_fast.vho     ;
; /home/mongoq/projects/fpga/tm1637-opencores/opencores-online-repository/tm1637/trunk/hdl/intel_qp/dec_counter/simulation/modelsim/tm1637.vho                        ;
; /home/mongoq/projects/fpga/tm1637-opencores/opencores-online-repository/tm1637/trunk/hdl/intel_qp/dec_counter/simulation/modelsim/tm1637_8_1200mv_85c_vhd_slow.sdo  ;
; /home/mongoq/projects/fpga/tm1637-opencores/opencores-online-repository/tm1637/trunk/hdl/intel_qp/dec_counter/simulation/modelsim/tm1637_8_1200mv_0c_vhd_slow.sdo   ;
; /home/mongoq/projects/fpga/tm1637-opencores/opencores-online-repository/tm1637/trunk/hdl/intel_qp/dec_counter/simulation/modelsim/tm1637_min_1200mv_0c_vhd_fast.sdo ;
; /home/mongoq/projects/fpga/tm1637-opencores/opencores-online-repository/tm1637/trunk/hdl/intel_qp/dec_counter/simulation/modelsim/tm1637_vhd.sdo                    ;
+---------------------------------------------------------------------------------------------------------------------------------------------------------------------+


+-----------------------------+
; EDA Netlist Writer Messages ;
+-----------------------------+
Info: *******************************************************************
Info: Running Quartus Prime EDA Netlist Writer
    Info: Version 20.1.0 Build 711 06/05/2020 SJ Lite Edition
    Info: Processing started: Sat Mar 13 16:24:05 2021
Info: Command: quartus_eda --read_settings_files=off --write_settings_files=off tm1637 -c tm1637
Info (204019): Generated file tm1637_8_1200mv_85c_slow.vho in folder "/home/mongoq/projects/fpga/tm1637-opencores/opencores-online-repository/tm1637/trunk/hdl/intel_qp/dec_counter/simulation/modelsim/" for EDA simulation tool
Info (204019): Generated file tm1637_8_1200mv_0c_slow.vho in folder "/home/mongoq/projects/fpga/tm1637-opencores/opencores-online-repository/tm1637/trunk/hdl/intel_qp/dec_counter/simulation/modelsim/" for EDA simulation tool
Info (204019): Generated file tm1637_min_1200mv_0c_fast.vho in folder "/home/mongoq/projects/fpga/tm1637-opencores/opencores-online-repository/tm1637/trunk/hdl/intel_qp/dec_counter/simulation/modelsim/" for EDA simulation tool
Info (204019): Generated file tm1637.vho in folder "/home/mongoq/projects/fpga/tm1637-opencores/opencores-online-repository/tm1637/trunk/hdl/intel_qp/dec_counter/simulation/modelsim/" for EDA simulation tool
Info (204019): Generated file tm1637_8_1200mv_85c_vhd_slow.sdo in folder "/home/mongoq/projects/fpga/tm1637-opencores/opencores-online-repository/tm1637/trunk/hdl/intel_qp/dec_counter/simulation/modelsim/" for EDA simulation tool
Info (204019): Generated file tm1637_8_1200mv_0c_vhd_slow.sdo in folder "/home/mongoq/projects/fpga/tm1637-opencores/opencores-online-repository/tm1637/trunk/hdl/intel_qp/dec_counter/simulation/modelsim/" for EDA simulation tool
Info (204019): Generated file tm1637_min_1200mv_0c_vhd_fast.sdo in folder "/home/mongoq/projects/fpga/tm1637-opencores/opencores-online-repository/tm1637/trunk/hdl/intel_qp/dec_counter/simulation/modelsim/" for EDA simulation tool
Info (204019): Generated file tm1637_vhd.sdo in folder "/home/mongoq/projects/fpga/tm1637-opencores/opencores-online-repository/tm1637/trunk/hdl/intel_qp/dec_counter/simulation/modelsim/" for EDA simulation tool
Info: Quartus Prime EDA Netlist Writer was successful. 0 errors, 0 warnings
    Info: Peak virtual memory: 807 megabytes
    Info: Processing ended: Sat Mar 13 16:24:06 2021
    Info: Elapsed time: 00:00:01
    Info: Total CPU time (on all processors): 00:00:01


Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.