OpenCores
URL https://opencores.org/ocsvn/tm1637/tm1637/trunk

Subversion Repositories tm1637

[/] [tm1637/] [trunk/] [hdl/] [intel_qp/] [dec_counter/] [output_files/] [tm1637.flow.rpt] - Rev 3

Compare with Previous | Blame | View Log

Flow report for tm1637
Sat Mar 13 16:24:06 2021
Quartus Prime Version 20.1.0 Build 711 06/05/2020 SJ Lite Edition


---------------------
; Table of Contents ;
---------------------
  1. Legal Notice
  2. Flow Summary
  3. Flow Settings
  4. Flow Non-Default Global Settings
  5. Flow Elapsed Time
  6. Flow OS Summary
  7. Flow Log
  8. Flow Messages
  9. Flow Suppressed Messages



----------------
; Legal Notice ;
----------------
Copyright (C) 2020  Intel Corporation. All rights reserved.
Your use of Intel Corporation's design tools, logic functions 
and other software and tools, and any partner logic 
functions, and any output files from any of the foregoing 
(including device programming or simulation files), and any 
associated documentation or information are expressly subject 
to the terms and conditions of the Intel Program License 
Subscription Agreement, the Intel Quartus Prime License Agreement,
the Intel FPGA IP License Agreement, or other applicable license
agreement, including, without limitation, that your use is for
the sole purpose of programming logic devices manufactured by
Intel and sold by Intel or its authorized distributors.  Please
refer to the applicable agreement for further details, at
https://fpgasoftware.intel.com/eula.



+----------------------------------------------------------------------------------+
; Flow Summary                                                                     ;
+------------------------------------+---------------------------------------------+
; Flow Status                        ; Successful - Sat Mar 13 16:24:06 2021       ;
; Quartus Prime Version              ; 20.1.0 Build 711 06/05/2020 SJ Lite Edition ;
; Revision Name                      ; tm1637                                      ;
; Top-level Entity Name              ; tm1637_toplevel                             ;
; Family                             ; Cyclone IV E                                ;
; Device                             ; EP4CE6E22C8                                 ;
; Timing Models                      ; Final                                       ;
; Total logic elements               ; 347 / 6,272 ( 6 % )                         ;
;     Total combinational functions  ; 332 / 6,272 ( 5 % )                         ;
;     Dedicated logic registers      ; 97 / 6,272 ( 2 % )                          ;
; Total registers                    ; 97                                          ;
; Total pins                         ; 3 / 92 ( 3 % )                              ;
; Total virtual pins                 ; 0                                           ;
; Total memory bits                  ; 0 / 276,480 ( 0 % )                         ;
; Embedded Multiplier 9-bit elements ; 0 / 30 ( 0 % )                              ;
; Total PLLs                         ; 0 / 2 ( 0 % )                               ;
+------------------------------------+---------------------------------------------+


+-----------------------------------------+
; Flow Settings                           ;
+-------------------+---------------------+
; Option            ; Setting             ;
+-------------------+---------------------+
; Start date & time ; 03/13/2021 16:23:38 ;
; Main task         ; Compilation         ;
; Revision Name     ; tm1637              ;
+-------------------+---------------------+


+---------------------------------------------------------------------------------------------------------------------------------+
; Flow Non-Default Global Settings                                                                                                ;
+-------------------------------------+----------------------------------------+---------------+-----------------+----------------+
; Assignment Name                     ; Value                                  ; Default Value ; Entity Name     ; Section Id     ;
+-------------------------------------+----------------------------------------+---------------+-----------------+----------------+
; COMPILER_SIGNATURE_ID               ; 2749717283328.161564901818329          ; --            ; --              ; --             ;
; EDA_OUTPUT_DATA_FORMAT              ; Vhdl                                   ; --            ; --              ; eda_simulation ;
; EDA_SIMULATION_TOOL                 ; ModelSim-Altera (VHDL)                 ; <None>        ; --              ; --             ;
; MAX_CORE_JUNCTION_TEMP              ; 85                                     ; --            ; --              ; --             ;
; MIN_CORE_JUNCTION_TEMP              ; 0                                      ; --            ; --              ; --             ;
; NOMINAL_CORE_SUPPLY_VOLTAGE         ; 1.2V                                   ; --            ; --              ; --             ;
; NUM_PARALLEL_PROCESSORS             ; All                                    ; --            ; --              ; --             ;
; PARTITION_COLOR                     ; -- (Not supported for targeted family) ; --            ; tm1637_toplevel ; Top            ;
; PARTITION_FITTER_PRESERVATION_LEVEL ; -- (Not supported for targeted family) ; --            ; tm1637_toplevel ; Top            ;
; PARTITION_NETLIST_TYPE              ; -- (Not supported for targeted family) ; --            ; tm1637_toplevel ; Top            ;
; PROJECT_OUTPUT_DIRECTORY            ; output_files                           ; --            ; --              ; --             ;
; TOP_LEVEL_ENTITY                    ; tm1637_toplevel                        ; tm1637        ; --              ; --             ;
+-------------------------------------+----------------------------------------+---------------+-----------------+----------------+


+--------------------------------------------------------------------------------------------------------------------------+
; Flow Elapsed Time                                                                                                        ;
+----------------------+--------------+-------------------------+---------------------+------------------------------------+
; Module Name          ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ;
+----------------------+--------------+-------------------------+---------------------+------------------------------------+
; Analysis & Synthesis ; 00:00:14     ; 1.0                     ; 623 MB              ; 00:00:33                           ;
; Fitter               ; 00:00:06     ; 1.0                     ; 887 MB              ; 00:00:06                           ;
; Assembler            ; 00:00:01     ; 1.0                     ; 555 MB              ; 00:00:01                           ;
; Timing Analyzer      ; 00:00:02     ; 1.1                     ; 621 MB              ; 00:00:02                           ;
; EDA Netlist Writer   ; 00:00:01     ; 1.0                     ; 807 MB              ; 00:00:01                           ;
; Total                ; 00:00:24     ; --                      ; --                  ; 00:00:43                           ;
+----------------------+--------------+-------------------------+---------------------+------------------------------------+


+---------------------------------------------------------------------------------+
; Flow OS Summary                                                                 ;
+----------------------+------------------+---------+------------+----------------+
; Module Name          ; Machine Hostname ; OS Name ; OS Version ; Processor type ;
+----------------------+------------------+---------+------------+----------------+
; Analysis & Synthesis ; x220             ; This is ; This is    ; x86_64         ;
; Fitter               ; x220             ; This is ; This is    ; x86_64         ;
; Assembler            ; x220             ; This is ; This is    ; x86_64         ;
; Timing Analyzer      ; x220             ; This is ; This is    ; x86_64         ;
; EDA Netlist Writer   ; x220             ; This is ; This is    ; x86_64         ;
+----------------------+------------------+---------+------------+----------------+


------------
; Flow Log ;
------------
quartus_map --read_settings_files=on --write_settings_files=off tm1637 -c tm1637
quartus_fit --read_settings_files=off --write_settings_files=off tm1637 -c tm1637
quartus_asm --read_settings_files=off --write_settings_files=off tm1637 -c tm1637
quartus_sta tm1637 -c tm1637
quartus_eda --read_settings_files=off --write_settings_files=off tm1637 -c tm1637



Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.