OpenCores
URL https://opencores.org/ocsvn/uart_block/uart_block/trunk

Subversion Repositories uart_block

[/] [uart_block/] [trunk/] [hdl/] [iseProject/] [Sim_ConfigTransmitter.wcfg] - Rev 35

Compare with Previous | Blame | View Log

<?xml version="1.0" encoding="UTF-8"?>
<wave_config>
   <wave_state>
   </wave_state>
   <db_ref_list>
      <db_ref path="E:/uart_block/hdl/iseProject/testSerial_transmitter_isim_beh.wdb" id="1" type="auto">
         <top_modules>
            <top_module name="pkgdefinitions" />
            <top_module name="std_logic_1164" />
            <top_module name="testserial_transmitter" />
         </top_modules>
      </db_ref>
   </db_ref_list>
   <WVObjectSize size="5" />
   <wvobject fp_name="/testserial_transmitter/rst" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">rst</obj_property>
      <obj_property name="ObjectShortName">rst</obj_property>
   </wvobject>
   <wvobject fp_name="/testserial_transmitter/baudclk" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">baudclk</obj_property>
      <obj_property name="ObjectShortName">baudclk</obj_property>
   </wvobject>
   <wvobject fp_name="/testserial_transmitter/data_byte" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">data_byte[7:0]</obj_property>
      <obj_property name="ObjectShortName">data_byte[7:0]</obj_property>
   </wvobject>
   <wvobject fp_name="/testserial_transmitter/data_sent" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">data_sent</obj_property>
      <obj_property name="ObjectShortName">data_sent</obj_property>
   </wvobject>
   <wvobject fp_name="/testserial_transmitter/serial_out" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">serial_out</obj_property>
      <obj_property name="ObjectShortName">serial_out</obj_property>
   </wvobject>
</wave_config>

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.