OpenCores
URL https://opencores.org/ocsvn/vhdl_wavefiles/vhdl_wavefiles/trunk

Subversion Repositories vhdl_wavefiles

[/] [vhdl_wavefiles/] [trunk/] [sim/] [CompareWave.m] - Rev 5

Compare with Previous | Blame | View Log

 
[M fs] = wavread('oMono.wav');
Monox = sum(M-Mono);
figure
hold on
plot(Mono);
plot(M);
plot(M-Mono);
hold off
 
[S fs] = wavread('oStereo.wav');
Stereox = sum(sum(S-Stereo));
figure
hold on
plot(Stereo(:,2));
plot(S(:,2));
plot(S(:,2)-Stereo(:,2));
hold off
 
[C fs] = wavread('oCh10.wav');
Ch10x = sum(sum(C-Ch10));
figure
hold on
plot(Ch10(:,9));
plot(C(:,9));
plot(C(:,10)-Ch10(:,10));
hold off
 
[B fs] = wavread('oBit32.wav');
Bit32x = sum(sum(C-Ch10));
figure
hold on
plot(Stereo(:,2));
plot(B(:,2));
plot(B(:,2)-Stereo(:,2));
hold off
 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.