OpenCores
URL https://opencores.org/ocsvn/viterb_encoder_and_decoder/viterb_encoder_and_decoder/trunk

Subversion Repositories viterb_encoder_and_decoder

[/] [viterb_encoder_and_decoder/] [trunk/] [rtl/] [mem_1x1024.v] - Rev 2

Compare with Previous | Blame | View Log

module mem_disp
(
   clk,
   wr,
   addr,
   d_i,
   d_o
);
 
   input          clk;
   input          wr;
   input [9:0]    addr;
   input          d_i;
   output reg     d_o;
 
   reg            mem   [1023:0];
 
 
   always @ (posedge clk)
   begin
      if(wr)
         mem[addr]   <= d_i;
      d_o  <=  mem[addr];
  end
endmodule
 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.