OpenCores
URL https://opencores.org/ocsvn/viterb_encoder_and_decoder/viterb_encoder_and_decoder/trunk

Subversion Repositories viterb_encoder_and_decoder

[/] [viterb_encoder_and_decoder/] [trunk/] [rtl/] [original/] [mem_8x1024_ori.v] - Rev 2

Compare with Previous | Blame | View Log

module mem
(
   clk,
   wr,
   addr,
   d_i,
   d_o
);
 
   input          clk;
   input          wr;
   input [9:0]    addr;
   input [7:0]    d_i;
   output[7:0]    d_o;
 
   reg   [7:0]    mem   [1023:0];
 
   assign d_o  =  mem[addr];
 
   always @ (posedge clk)
   begin
      if(wr)
         mem[addr]   <= d_i;
 
   end
endmodule
 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.