URL
https://opencores.org/ocsvn/wdsp/wdsp/trunk
Subversion Repositories wdsp
[/] [wdsp/] [trunk/] [rtl/] [vhdl/] [WISHBONE_FIR/] [.bak] - Rev 5
Compare with Previous | Blame | View Log
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity slave is
generic(
data_wordwidth: integer;
adress_wordwidth integer;
);
port(
ACK_O : out std_logic;
ADR_I : in std_logic_vector( adress_wordwidth downto 0 );
CLK_I : in std_logic;
DAT_I : in std_logic_vector( data_wordwidth downto 0 );
DAT_O : out std_logic_vector( data_wordwidth downto 0 );
STB_I : in std_logic;
WE_I : in std_logic
);