OpenCores
URL https://opencores.org/ocsvn/wdsp/wdsp/trunk

Subversion Repositories wdsp

[/] [wdsp/] [trunk/] [rtl/] [vhdl/] [WISHBONE_FIR/] [.vhd.bak] - Rev 5

Compare with Previous | Blame | View Log

library ieee;
use ieee.math_real.all;

package coeff_pkg is
        constant Nb : integer := 16;
        constant Nh : integer := 11;
        constant Q : integer := 15;

        type coeff is array (Nh-1 downto 0) of integer range -(2**(Nb-1)) to (2**(Nb-1)-1);
        constant h0 : coeff := (
                -89,
                971,
                860,
                -8051,
                -985,
                14393,
                -985,
                -8051,
                860,
                971,
                -89
        );
end coeff_pkg;

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.