OpenCores
URL https://opencores.org/ocsvn/wdsp/wdsp/trunk

Subversion Repositories wdsp

[/] [wdsp/] [trunk/] [sw/] [IIR6/] [coefs_sos.h] - Rev 5

Compare with Previous | Blame | View Log

/*Second Order Sections (SOS) automatically generated header file*/
/*M.Eng. Alexander López Parrado*/
 
#include <stdint.h>
/*The number of sections*/
#define NSECT 6
 
/*Number of bits in fractional part of coeffcients*/
/*Fixed point format with 16 bits ([3].[13])*/
#define Q 13
 
/*Gain on each stage*/
const int16_t gk = 169;
 
/*Filter Coefficients ,b10,b11,b12,a10,a11,a12,b00,b01,b02,a00,a01,a02*/
const int16_t SOS[NSECT*3*2] = {
7835, //0.956450
-15024, //-1.834035
8192, //1.000000
8192, //0.999999
16384, //2.000001
8192, //1.000000
7925, //0.967386
-15201, //-1.855551
8192, //1.000000
8192, //0.999993
-16384, //-1.999996
8192, //1.000000
7926, //0.967564
-15034, //-1.835208
8192, //1.000000
8205, //1.001573
16397, //2.001570
8192, //1.000000
7948, //0.970269
-15165, //-1.851227
8192, //1.000000
8179, //0.998431
16371, //1.998429
8192, //1.000000
8104, //0.989229
-15170, //-1.851797
8192, //1.000000
8205, //1.001644
-16397, //-2.001641
8192, //1.000000
8111, //0.990104
-15409, //-1.881010
8192, //1.000000
8179, //0.998365
-16371, //-1.998363
8192, //1.000000
};
 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.