OpenCores
URL https://opencores.org/ocsvn/wf3d/wf3d/trunk

Subversion Repositories wf3d

[/] [wf3d/] [trunk/] [implement/] [synth/] [zedboard/] [user_const.xdc] - Rev 5

Compare with Previous | Blame | View Log

####################################################################################
# Constraints from file : 'pp_top_axi.ucf'
####################################################################################

# analog-vga
# "VGA-B1"
set_property PACKAGE_PIN Y21 [get_ports {o_vb[0]}]
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:2
# The conversion of 'IOSTANDARD' constraint on 'net' object 'o_vb[0]' has been applied to the port object 'o_vb[0]'.
set_property IOSTANDARD LVCMOS33 [get_ports {o_vb[0]}]
# "VGA-B2"
set_property PACKAGE_PIN Y20 [get_ports {o_vb[1]}]
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:3
# The conversion of 'IOSTANDARD' constraint on 'net' object 'o_vb[1]' has been applied to the port object 'o_vb[1]'.
set_property IOSTANDARD LVCMOS33 [get_ports {o_vb[1]}]
# "VGA-B3"
set_property PACKAGE_PIN AB20 [get_ports {o_vb[2]}]
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:4
# The conversion of 'IOSTANDARD' constraint on 'net' object 'o_vb[2]' has been applied to the port object 'o_vb[2]'.
set_property IOSTANDARD LVCMOS33 [get_ports {o_vb[2]}]
# "VGA-B4"
set_property PACKAGE_PIN AB19 [get_ports {o_vb[3]}]
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:5
# The conversion of 'IOSTANDARD' constraint on 'net' object 'o_vb[3]' has been applied to the port object 'o_vb[3]'.
set_property IOSTANDARD LVCMOS33 [get_ports {o_vb[3]}]
# "VGA-G1"
set_property PACKAGE_PIN AB22 [get_ports {o_vg[0]}]
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:6
# The conversion of 'IOSTANDARD' constraint on 'net' object 'o_vg[0]' has been applied to the port object 'o_vg[0]'.
set_property IOSTANDARD LVCMOS33 [get_ports {o_vg[0]}]
# "VGA-G2"
set_property PACKAGE_PIN AA22 [get_ports {o_vg[1]}]
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:7
# The conversion of 'IOSTANDARD' constraint on 'net' object 'o_vg[1]' has been applied to the port object 'o_vg[1]'.
set_property IOSTANDARD LVCMOS33 [get_ports {o_vg[1]}]
# "VGA-G3"
set_property PACKAGE_PIN AB21 [get_ports {o_vg[2]}]
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:8
# The conversion of 'IOSTANDARD' constraint on 'net' object 'o_vg[2]' has been applied to the port object 'o_vg[2]'.
set_property IOSTANDARD LVCMOS33 [get_ports {o_vg[2]}]
# "VGA-G4"
set_property PACKAGE_PIN AA21 [get_ports {o_vg[3]}]
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:9
# The conversion of 'IOSTANDARD' constraint on 'net' object 'o_vg[3]' has been applied to the port object 'o_vg[3]'.
set_property IOSTANDARD LVCMOS33 [get_ports {o_vg[3]}]
# "VGA-HS"
set_property PACKAGE_PIN AA19 [get_ports o_hsync_x]
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:10
# The conversion of 'IOSTANDARD' constraint on 'net' object 'o_hsync_x' has been applied to the port object 'o_hsync_x'.
set_property IOSTANDARD LVCMOS33 [get_ports o_hsync_x]
# "VGA-R1"
set_property PACKAGE_PIN V20 [get_ports {o_vr[0]}]
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:11
# The conversion of 'IOSTANDARD' constraint on 'net' object 'o_vr[0]' has been applied to the port object 'o_vr[0]'.
set_property IOSTANDARD LVCMOS33 [get_ports {o_vr[0]}]
# "VGA-R2"
set_property PACKAGE_PIN U20 [get_ports {o_vr[1]}]
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:12
# The conversion of 'IOSTANDARD' constraint on 'net' object 'o_vr[1]' has been applied to the port object 'o_vr[1]'.
set_property IOSTANDARD LVCMOS33 [get_ports {o_vr[1]}]
# "VGA-R3"
set_property PACKAGE_PIN V19 [get_ports {o_vr[2]}]
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:13
# The conversion of 'IOSTANDARD' constraint on 'net' object 'o_vr[2]' has been applied to the port object 'o_vr[2]'.
set_property IOSTANDARD LVCMOS33 [get_ports {o_vr[2]}]
# "VGA-R4"
set_property PACKAGE_PIN V18 [get_ports {o_vr[3]}]
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:14
# The conversion of 'IOSTANDARD' constraint on 'net' object 'o_vr[3]' has been applied to the port object 'o_vr[3]'.
set_property IOSTANDARD LVCMOS33 [get_ports {o_vr[3]}]
# "VGA-VS"
set_property PACKAGE_PIN Y19 [get_ports o_vsync_x]
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:15
# The conversion of 'IOSTANDARD' constraint on 'net' object 'o_vsync_x' has been applied to the port object 'o_vsync_x'.
set_property IOSTANDARD LVCMOS33 [get_ports o_vsync_x]
# hdmi
# "HD-CLK"
set_property PACKAGE_PIN W18 [get_ports clk_vo]
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:17
# The conversion of 'IOSTANDARD' constraint on 'net' object 'clk_vo' has been applied to the port object 'clk_vo'.
set_property IOSTANDARD LVCMOS33 [get_ports clk_vo]
# "HD-D0"
set_property PACKAGE_PIN Y13 [get_ports {o_hd_d[0]}]
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:18
# The conversion of 'IOSTANDARD' constraint on 'net' object 'o_hd_d[0]' has been applied to the port object 'o_hd_d[0]'.
set_property IOSTANDARD LVCMOS33 [get_ports {o_hd_d[0]}]
# "HD-D1"
set_property PACKAGE_PIN AA13 [get_ports {o_hd_d[1]}]
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:19
# The conversion of 'IOSTANDARD' constraint on 'net' object 'o_hd_d[1]' has been applied to the port object 'o_hd_d[1]'.
set_property IOSTANDARD LVCMOS33 [get_ports {o_hd_d[1]}]
# "HD-D10"
set_property PACKAGE_PIN W13 [get_ports {o_hd_d[10]}]
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:20
# The conversion of 'IOSTANDARD' constraint on 'net' object 'o_hd_d[10]' has been applied to the port object 'o_hd_d[10]'.
set_property IOSTANDARD LVCMOS33 [get_ports {o_hd_d[10]}]
# "HD-D11"
set_property PACKAGE_PIN W15 [get_ports {o_hd_d[11]}]
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:21
# The conversion of 'IOSTANDARD' constraint on 'net' object 'o_hd_d[11]' has been applied to the port object 'o_hd_d[11]'.
set_property IOSTANDARD LVCMOS33 [get_ports {o_hd_d[11]}]
# "HD-D12"
set_property PACKAGE_PIN V15 [get_ports {o_hd_d[12]}]
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:22
# The conversion of 'IOSTANDARD' constraint on 'net' object 'o_hd_d[12]' has been applied to the port object 'o_hd_d[12]'.
set_property IOSTANDARD LVCMOS33 [get_ports {o_hd_d[12]}]
# "HD-D13"
set_property PACKAGE_PIN U17 [get_ports {o_hd_d[13]}]
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:23
# The conversion of 'IOSTANDARD' constraint on 'net' object 'o_hd_d[13]' has been applied to the port object 'o_hd_d[13]'.
set_property IOSTANDARD LVCMOS33 [get_ports {o_hd_d[13]}]
# "HD-D14"
set_property PACKAGE_PIN V14 [get_ports {o_hd_d[14]}]
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:24
# The conversion of 'IOSTANDARD' constraint on 'net' object 'o_hd_d[14]' has been applied to the port object 'o_hd_d[14]'.
set_property IOSTANDARD LVCMOS33 [get_ports {o_hd_d[14]}]
# "HD-D15"
set_property PACKAGE_PIN V13 [get_ports {o_hd_d[15]}]
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:25
# The conversion of 'IOSTANDARD' constraint on 'net' object 'o_hd_d[15]' has been applied to the port object 'o_hd_d[15]'.
set_property IOSTANDARD LVCMOS33 [get_ports {o_hd_d[15]}]
# "HD-D2"
set_property PACKAGE_PIN AA14 [get_ports {o_hd_d[2]}]
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:26
# The conversion of 'IOSTANDARD' constraint on 'net' object 'o_hd_d[2]' has been applied to the port object 'o_hd_d[2]'.
set_property IOSTANDARD LVCMOS33 [get_ports {o_hd_d[2]}]
# "HD-D3"
set_property PACKAGE_PIN Y14 [get_ports {o_hd_d[3]}]
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:27
# The conversion of 'IOSTANDARD' constraint on 'net' object 'o_hd_d[3]' has been applied to the port object 'o_hd_d[3]'.
set_property IOSTANDARD LVCMOS33 [get_ports {o_hd_d[3]}]
# "HD-D4"
set_property PACKAGE_PIN AB15 [get_ports {o_hd_d[4]}]
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:28
# The conversion of 'IOSTANDARD' constraint on 'net' object 'o_hd_d[4]' has been applied to the port object 'o_hd_d[4]'.
set_property IOSTANDARD LVCMOS33 [get_ports {o_hd_d[4]}]
# "HD-D5"
set_property PACKAGE_PIN AB16 [get_ports {o_hd_d[5]}]
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:29
# The conversion of 'IOSTANDARD' constraint on 'net' object 'o_hd_d[5]' has been applied to the port object 'o_hd_d[5]'.
set_property IOSTANDARD LVCMOS33 [get_ports {o_hd_d[5]}]
# "HD-D6"
set_property PACKAGE_PIN AA16 [get_ports {o_hd_d[6]}]
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:30
# The conversion of 'IOSTANDARD' constraint on 'net' object 'o_hd_d[6]' has been applied to the port object 'o_hd_d[6]'.
set_property IOSTANDARD LVCMOS33 [get_ports {o_hd_d[6]}]
# "HD-D7"
set_property PACKAGE_PIN AB17 [get_ports {o_hd_d[7]}]
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:31
# The conversion of 'IOSTANDARD' constraint on 'net' object 'o_hd_d[7]' has been applied to the port object 'o_hd_d[7]'.
set_property IOSTANDARD LVCMOS33 [get_ports {o_hd_d[7]}]
# "HD-D8"
set_property PACKAGE_PIN AA17 [get_ports {o_hd_d[8]}]
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:32
# The conversion of 'IOSTANDARD' constraint on 'net' object 'o_hd_d[8]' has been applied to the port object 'o_hd_d[8]'.
set_property IOSTANDARD LVCMOS33 [get_ports {o_hd_d[8]}]
# "HD-D9"
set_property PACKAGE_PIN Y15 [get_ports {o_hd_d[9]}]
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:33
# The conversion of 'IOSTANDARD' constraint on 'net' object 'o_hd_d[9]' has been applied to the port object 'o_hd_d[9]'.
set_property IOSTANDARD LVCMOS33 [get_ports {o_hd_d[9]}]
# "HD-DE"
set_property PACKAGE_PIN U16 [get_ports o_hd_de]
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:34
# The conversion of 'IOSTANDARD' constraint on 'net' object 'o_hd_de' has been applied to the port object 'o_hd_de'.
set_property IOSTANDARD LVCMOS33 [get_ports o_hd_de]
# "HD-HSYNC"
set_property PACKAGE_PIN V17 [get_ports o_hd_hsync]
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:35
# The conversion of 'IOSTANDARD' constraint on 'net' object 'o_hd_hsync' has been applied to the port object 'o_hd_hsync'.
set_property IOSTANDARD LVCMOS33 [get_ports o_hd_hsync]
# "HD-SCL"
set_property PACKAGE_PIN AA18 [get_ports io_scl]
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:36
# The conversion of 'IOSTANDARD' constraint on 'net' object 'io_scl' has been applied to the port object 'io_scl'.
set_property IOSTANDARD LVCMOS33 [get_ports io_scl]
# "HD-SDA"
set_property PACKAGE_PIN Y16 [get_ports io_sda]
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:37
# The conversion of 'IOSTANDARD' constraint on 'net' object 'io_sda' has been applied to the port object 'io_sda'.
set_property IOSTANDARD LVCMOS33 [get_ports io_sda]
# "HD-VSYNC"
set_property PACKAGE_PIN W17 [get_ports o_hd_vsync]
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:38
# The conversion of 'IOSTANDARD' constraint on 'net' object 'o_hd_vsync' has been applied to the port object 'o_hd_vsync'.
set_property IOSTANDARD LVCMOS33 [get_ports o_hd_vsync]

# "GCLK"
set_property PACKAGE_PIN Y9 [get_ports CLK_100]
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:40
# The conversion of 'IOSTANDARD' constraint on 'net' object 'CLK_100' has been applied to the port object 'CLK_100'.
set_property IOSTANDARD LVCMOS33 [get_ports CLK_100]
# C:/work/polyphony_rtl_axi/misc/pp_top_axi.ucf:42
create_clock -period 10.000 -name CLK_100 [get_ports CLK_100]

set_property IOSTANDARD TMDS_33 [get_ports ch0p]
set_property PACKAGE_PIN AB6 [get_ports ch0n]
set_property IOSTANDARD TMDS_33 [get_ports ch0n]
set_property IOSTANDARD TMDS_33 [get_ports ch1p]
set_property PACKAGE_PIN AA4 [get_ports ch1n]
set_property IOSTANDARD TMDS_33 [get_ports ch1n]
set_property IOSTANDARD TMDS_33 [get_ports ch2p]
set_property PACKAGE_PIN T6 [get_ports ch2n]
set_property IOSTANDARD TMDS_33 [get_ports ch2n]
set_property IOSTANDARD TMDS_33 [get_ports ch3p]
set_property PACKAGE_PIN U4 [get_ports ch3n]
set_property IOSTANDARD TMDS_33 [get_ports ch3n]

# The following cross clock domain false path constraints can be uncommented in order to mimic ucf constraints behavior (see message at the beginning of this file)
# set_false_path -from [get_clocks CLK_100] -to [get_clocks [list FCLK_CLK1 FCLK_CLK0 FCLK_CLK3]]
# set_false_path -from [get_clocks FCLK_CLK1] -to [get_clocks [list CLK_100 FCLK_CLK0 FCLK_CLK3]]
# set_false_path -from [get_clocks FCLK_CLK0] -to [get_clocks [list CLK_100 FCLK_CLK1 FCLK_CLK3]]
# set_false_path -from [get_clocks FCLK_CLK3] -to [get_clocks [list CLK_100 FCLK_CLK1 FCLK_CLK0]]

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.