OpenCores
URL https://opencores.org/ocsvn/wiegand_ctl/wiegand_ctl/trunk

Subversion Repositories wiegand_ctl

[/] [wiegand_ctl/] [trunk/] [syn/] [xilinx/] [wiegand_tx/] [vivado/] [wiegand_tx_top/] [wiegand_tx_top.srcs/] [constrs_1/] [new/] [wiegand_tx_top.xdc] - Rev 17

Compare with Previous | Blame | View Log

#only true IO are zero_o and one_o pins; rest stay on-chip through WB bus
set_property IOSTANDARD LVCMOS18 [get_ports {one_o zero_o}]
#set_property PACKAGE_PIN <pin name here> [get_ports one_o]
#set_property PACKAGE_PIN <pin name here> [get_ports zero_o]

#timing constraints
#only one clock, and it's the WB clock.

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.