OpenCores
URL https://opencores.org/ocsvn/wisbone_2_ahb/wisbone_2_ahb/trunk

Subversion Repositories wisbone_2_ahb

[/] [wisbone_2_ahb/] [tags/] [t3/] [svtb/] [sim_svtb/] [wb_ahb_pkg.sv] - Rev 11

Compare with Previous | Blame | View Log

`timescale 1 ns/ 1 ps
package wb_ahb_pkg;
import global::*;
        `include "../avm_svtb/wb_ahb_stim_gen.svh"
        `include "../avm_svtb/wb_ahb_driver.svh"
        `include "../avm_svtb/wb_ahb_responder.svh"
        `include "../avm_svtb/wb_ahb_monitor.svh"
        `include "../avm_svtb/wb_ahb_scoreboard.svh"
        `include "../avm_svtb/wb_ahb_coverage.svh"
        `include "../avm_svtb/wb_ahb_env.svh"
endpackage

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.