OpenCores
URL https://opencores.org/ocsvn/xenie/xenie/trunk

Subversion Repositories xenie

[/] [xenie/] [trunk/] [examples/] [Eth_example/] [ip_repo/] [axi_mdio/] [src/] [axi_mdio_master_oob.xdc] - Rev 4

Compare with Previous | Blame | View Log


# This constraints file is supposed to be used only during OOB synthesis run
# to specify default target clocks.

create_clock -period 10.000 -name S_AXI_ACLK_OOB -waveform {0.000 5.000} [get_ports {S_AXI_ACLK}]

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.