OpenCores
URL https://opencores.org/ocsvn/xmatchpro/xmatchpro/trunk

Subversion Repositories xmatchpro

[/] [xmatchpro/] [trunk/] [xmw4-comdec/] [xmatch_sim7/] [isim/] [testbench_isim_beh.exe.sim/] [work/] [a_2144605995_2207681426.c] - Rev 9

Compare with Previous | Blame | View Log

/**********************************************************************/
/*   ____  ____                                                       */
/*  /   /\/   /                                                       */
/* /___/  \  /                                                        */
/* \   \   \/                                                       */
/*  \   \        Copyright (c) 2003-2009 Xilinx, Inc.                */
/*  /   /          All Right Reserved.                                 */
/* /---/   /\                                                         */
/* \   \  /  \                                                      */
/*  \___\/\___\                                                    */
/***********************************************************************/
 
/* This file is designed for use with ISim build 0x7708f090 */
 
#define XSI_HIDE_SYMBOL_SPEC true
#include "xsi.h"
#include <memory.h>
#ifdef __GNUC__
#include <stdlib.h>
#else
#include <malloc.h>
#define alloca _alloca
#endif
static const char *ng0 = "C:/Users/eejlny/projects/xmatch_sim7/xmatch_sim7/src/cml_assembler.vhd";
extern char *STD_STANDARD;
extern char *DZX_P_3728046382;
 
char *dzx_p_3728046382_sub_3251038083_2632892140(char *, char *, char *, char *, char *, char *);
 
 
static void work_a_2144605995_2207681426_p_0(char *t0)
{
    char t40[16];
    char t56[16];
    char t58[16];
    char *t1;
    char *t2;
    char *t3;
    int t4;
    char *t5;
    char *t6;
    int t7;
    char *t8;
    char *t9;
    int t10;
    char *t11;
    char *t12;
    int t13;
    char *t14;
    char *t15;
    int t16;
    char *t17;
    char *t18;
    int t19;
    char *t20;
    int t22;
    char *t23;
    int t25;
    char *t26;
    int t28;
    char *t29;
    int t31;
    char *t32;
    int t34;
    char *t35;
    int t37;
    char *t38;
    char *t39;
    char *t41;
    char *t42;
    unsigned int t43;
    unsigned char t44;
    char *t45;
    char *t46;
    char *t47;
    char *t48;
    char *t49;
    unsigned int t50;
    unsigned int t51;
    unsigned int t52;
    unsigned char t53;
    unsigned int t54;
    unsigned int t55;
    unsigned int t57;
 
LAB0:    xsi_set_current_line(66, ng0);
    t1 = (t0 + 1192U);
    t2 = *((char **)t1);
    t1 = (t0 + 5979);
    t4 = xsi_mem_cmp(t1, t2, 4U);
    if (t4 == 1)
        goto LAB3;
 
LAB16:    t5 = (t0 + 5983);
    t7 = xsi_mem_cmp(t5, t2, 4U);
    if (t7 == 1)
        goto LAB4;
 
LAB17:    t8 = (t0 + 5987);
    t10 = xsi_mem_cmp(t8, t2, 4U);
    if (t10 == 1)
        goto LAB5;
 
LAB18:    t11 = (t0 + 5991);
    t13 = xsi_mem_cmp(t11, t2, 4U);
    if (t13 == 1)
        goto LAB6;
 
LAB19:    t14 = (t0 + 5995);
    t16 = xsi_mem_cmp(t14, t2, 4U);
    if (t16 == 1)
        goto LAB7;
 
LAB20:    t17 = (t0 + 5999);
    t19 = xsi_mem_cmp(t17, t2, 4U);
    if (t19 == 1)
        goto LAB8;
 
LAB21:    t20 = (t0 + 6003);
    t22 = xsi_mem_cmp(t20, t2, 4U);
    if (t22 == 1)
        goto LAB9;
 
LAB22:    t23 = (t0 + 6007);
    t25 = xsi_mem_cmp(t23, t2, 4U);
    if (t25 == 1)
        goto LAB10;
 
LAB23:    t26 = (t0 + 6011);
    t28 = xsi_mem_cmp(t26, t2, 4U);
    if (t28 == 1)
        goto LAB11;
 
LAB24:    t29 = (t0 + 6015);
    t31 = xsi_mem_cmp(t29, t2, 4U);
    if (t31 == 1)
        goto LAB12;
 
LAB25:    t32 = (t0 + 6019);
    t34 = xsi_mem_cmp(t32, t2, 4U);
    if (t34 == 1)
        goto LAB13;
 
LAB26:    t35 = (t0 + 6023);
    t37 = xsi_mem_cmp(t35, t2, 4U);
    if (t37 == 1)
        goto LAB14;
 
LAB27:
LAB15:    xsi_set_current_line(79, ng0);
    t1 = (t0 + 6027);
    t3 = (t0 + 3656);
    t5 = (t3 + 56U);
    t6 = *((char **)t5);
    t8 = (t6 + 56U);
    t9 = *((char **)t8);
    memcpy(t9, t1, 35U);
    xsi_driver_first_trans_fast_port(t3);
 
LAB2:    t1 = (t0 + 3560);
    *((int *)t1) = 1;
 
LAB1:    return;
LAB3:    xsi_set_current_line(67, ng0);
    t38 = (t0 + 1352U);
    t39 = *((char **)t38);
    t41 = ((STD_STANDARD) + 1112);
    t42 = (t0 + 5852U);
    t38 = xsi_base_array_concat(t38, t40, t41, (char)97, t39, t42, (char)99, (unsigned char)0, (char)101);
    t43 = (34U + 1U);
    t44 = (35U != t43);
    if (t44 == 1)
        goto LAB29;
 
LAB30:    t45 = (t0 + 3656);
    t46 = (t45 + 56U);
    t47 = *((char **)t46);
    t48 = (t47 + 56U);
    t49 = *((char **)t48);
    memcpy(t49, t38, 35U);
    xsi_driver_first_trans_fast_port(t45);
    goto LAB2;
 
LAB4:    xsi_set_current_line(68, ng0);
    t1 = (t0 + 1032U);
    t2 = *((char **)t1);
    t4 = (10 - 10);
    t43 = (t4 * -1);
    t50 = (1U * t43);
    t51 = (0 + t50);
    t1 = (t2 + t51);
    t44 = *((unsigned char *)t1);
    t3 = (t0 + 1352U);
    t5 = *((char **)t3);
    t6 = ((STD_STANDARD) + 1112);
    t8 = (t0 + 5852U);
    t3 = xsi_base_array_concat(t3, t40, t6, (char)99, t44, (char)97, t5, t8, (char)101);
    t52 = (1U + 34U);
    t53 = (35U != t52);
    if (t53 == 1)
        goto LAB31;
 
LAB32:    t9 = (t0 + 3656);
    t11 = (t9 + 56U);
    t12 = *((char **)t11);
    t14 = (t12 + 56U);
    t15 = *((char **)t14);
    memcpy(t15, t3, 35U);
    xsi_driver_first_trans_fast_port(t9);
    goto LAB2;
 
LAB5:    xsi_set_current_line(69, ng0);
    t1 = (t0 + 1032U);
    t2 = *((char **)t1);
    t43 = (10 - 10);
    t50 = (t43 * 1U);
    t51 = (0 + t50);
    t1 = (t2 + t51);
    t3 = (t0 + 1352U);
    t5 = *((char **)t3);
    t52 = (33 - 33);
    t54 = (t52 * 1U);
    t55 = (0 + t54);
    t3 = (t5 + t55);
    t8 = ((STD_STANDARD) + 1112);
    t9 = (t56 + 0U);
    t11 = (t9 + 0U);
    *((int *)t11) = 10;
    t11 = (t9 + 4U);
    *((int *)t11) = 9;
    t11 = (t9 + 8U);
    *((int *)t11) = -1;
    t4 = (9 - 10);
    t57 = (t4 * -1);
    t57 = (t57 + 1);
    t11 = (t9 + 12U);
    *((unsigned int *)t11) = t57;
    t11 = (t58 + 0U);
    t12 = (t11 + 0U);
    *((int *)t12) = 33;
    t12 = (t11 + 4U);
    *((int *)t12) = 1;
    t12 = (t11 + 8U);
    *((int *)t12) = -1;
    t7 = (1 - 33);
    t57 = (t7 * -1);
    t57 = (t57 + 1);
    t12 = (t11 + 12U);
    *((unsigned int *)t12) = t57;
    t6 = xsi_base_array_concat(t6, t40, t8, (char)97, t1, t56, (char)97, t3, t58, (char)101);
    t57 = (2U + 33U);
    t44 = (35U != t57);
    if (t44 == 1)
        goto LAB33;
 
LAB34:    t12 = (t0 + 3656);
    t14 = (t12 + 56U);
    t15 = *((char **)t14);
    t17 = (t15 + 56U);
    t18 = *((char **)t17);
    memcpy(t18, t6, 35U);
    xsi_driver_first_trans_fast_port(t12);
    goto LAB2;
 
LAB6:    xsi_set_current_line(70, ng0);
    t1 = (t0 + 1032U);
    t2 = *((char **)t1);
    t43 = (10 - 10);
    t50 = (t43 * 1U);
    t51 = (0 + t50);
    t1 = (t2 + t51);
    t3 = (t0 + 1352U);
    t5 = *((char **)t3);
    t52 = (33 - 33);
    t54 = (t52 * 1U);
    t55 = (0 + t54);
    t3 = (t5 + t55);
    t8 = ((STD_STANDARD) + 1112);
    t9 = (t56 + 0U);
    t11 = (t9 + 0U);
    *((int *)t11) = 10;
    t11 = (t9 + 4U);
    *((int *)t11) = 8;
    t11 = (t9 + 8U);
    *((int *)t11) = -1;
    t4 = (8 - 10);
    t57 = (t4 * -1);
    t57 = (t57 + 1);
    t11 = (t9 + 12U);
    *((unsigned int *)t11) = t57;
    t11 = (t58 + 0U);
    t12 = (t11 + 0U);
    *((int *)t12) = 33;
    t12 = (t11 + 4U);
    *((int *)t12) = 2;
    t12 = (t11 + 8U);
    *((int *)t12) = -1;
    t7 = (2 - 33);
    t57 = (t7 * -1);
    t57 = (t57 + 1);
    t12 = (t11 + 12U);
    *((unsigned int *)t12) = t57;
    t6 = xsi_base_array_concat(t6, t40, t8, (char)97, t1, t56, (char)97, t3, t58, (char)101);
    t57 = (3U + 32U);
    t44 = (35U != t57);
    if (t44 == 1)
        goto LAB35;
 
LAB36:    t12 = (t0 + 3656);
    t14 = (t12 + 56U);
    t15 = *((char **)t14);
    t17 = (t15 + 56U);
    t18 = *((char **)t17);
    memcpy(t18, t6, 35U);
    xsi_driver_first_trans_fast_port(t12);
    goto LAB2;
 
LAB7:    xsi_set_current_line(71, ng0);
    t1 = (t0 + 1032U);
    t2 = *((char **)t1);
    t43 = (10 - 10);
    t50 = (t43 * 1U);
    t51 = (0 + t50);
    t1 = (t2 + t51);
    t3 = (t0 + 1352U);
    t5 = *((char **)t3);
    t52 = (33 - 33);
    t54 = (t52 * 1U);
    t55 = (0 + t54);
    t3 = (t5 + t55);
    t8 = ((STD_STANDARD) + 1112);
    t9 = (t56 + 0U);
    t11 = (t9 + 0U);
    *((int *)t11) = 10;
    t11 = (t9 + 4U);
    *((int *)t11) = 7;
    t11 = (t9 + 8U);
    *((int *)t11) = -1;
    t4 = (7 - 10);
    t57 = (t4 * -1);
    t57 = (t57 + 1);
    t11 = (t9 + 12U);
    *((unsigned int *)t11) = t57;
    t11 = (t58 + 0U);
    t12 = (t11 + 0U);
    *((int *)t12) = 33;
    t12 = (t11 + 4U);
    *((int *)t12) = 3;
    t12 = (t11 + 8U);
    *((int *)t12) = -1;
    t7 = (3 - 33);
    t57 = (t7 * -1);
    t57 = (t57 + 1);
    t12 = (t11 + 12U);
    *((unsigned int *)t12) = t57;
    t6 = xsi_base_array_concat(t6, t40, t8, (char)97, t1, t56, (char)97, t3, t58, (char)101);
    t57 = (4U + 31U);
    t44 = (35U != t57);
    if (t44 == 1)
        goto LAB37;
 
LAB38:    t12 = (t0 + 3656);
    t14 = (t12 + 56U);
    t15 = *((char **)t14);
    t17 = (t15 + 56U);
    t18 = *((char **)t17);
    memcpy(t18, t6, 35U);
    xsi_driver_first_trans_fast_port(t12);
    goto LAB2;
 
LAB8:    xsi_set_current_line(72, ng0);
    t1 = (t0 + 1032U);
    t2 = *((char **)t1);
    t43 = (10 - 10);
    t50 = (t43 * 1U);
    t51 = (0 + t50);
    t1 = (t2 + t51);
    t3 = (t0 + 1352U);
    t5 = *((char **)t3);
    t52 = (33 - 33);
    t54 = (t52 * 1U);
    t55 = (0 + t54);
    t3 = (t5 + t55);
    t8 = ((STD_STANDARD) + 1112);
    t9 = (t56 + 0U);
    t11 = (t9 + 0U);
    *((int *)t11) = 10;
    t11 = (t9 + 4U);
    *((int *)t11) = 6;
    t11 = (t9 + 8U);
    *((int *)t11) = -1;
    t4 = (6 - 10);
    t57 = (t4 * -1);
    t57 = (t57 + 1);
    t11 = (t9 + 12U);
    *((unsigned int *)t11) = t57;
    t11 = (t58 + 0U);
    t12 = (t11 + 0U);
    *((int *)t12) = 33;
    t12 = (t11 + 4U);
    *((int *)t12) = 4;
    t12 = (t11 + 8U);
    *((int *)t12) = -1;
    t7 = (4 - 33);
    t57 = (t7 * -1);
    t57 = (t57 + 1);
    t12 = (t11 + 12U);
    *((unsigned int *)t12) = t57;
    t6 = xsi_base_array_concat(t6, t40, t8, (char)97, t1, t56, (char)97, t3, t58, (char)101);
    t57 = (5U + 30U);
    t44 = (35U != t57);
    if (t44 == 1)
        goto LAB39;
 
LAB40:    t12 = (t0 + 3656);
    t14 = (t12 + 56U);
    t15 = *((char **)t14);
    t17 = (t15 + 56U);
    t18 = *((char **)t17);
    memcpy(t18, t6, 35U);
    xsi_driver_first_trans_fast_port(t12);
    goto LAB2;
 
LAB9:    xsi_set_current_line(73, ng0);
    t1 = (t0 + 1032U);
    t2 = *((char **)t1);
    t43 = (10 - 10);
    t50 = (t43 * 1U);
    t51 = (0 + t50);
    t1 = (t2 + t51);
    t3 = (t0 + 1352U);
    t5 = *((char **)t3);
    t52 = (33 - 33);
    t54 = (t52 * 1U);
    t55 = (0 + t54);
    t3 = (t5 + t55);
    t8 = ((STD_STANDARD) + 1112);
    t9 = (t56 + 0U);
    t11 = (t9 + 0U);
    *((int *)t11) = 10;
    t11 = (t9 + 4U);
    *((int *)t11) = 5;
    t11 = (t9 + 8U);
    *((int *)t11) = -1;
    t4 = (5 - 10);
    t57 = (t4 * -1);
    t57 = (t57 + 1);
    t11 = (t9 + 12U);
    *((unsigned int *)t11) = t57;
    t11 = (t58 + 0U);
    t12 = (t11 + 0U);
    *((int *)t12) = 33;
    t12 = (t11 + 4U);
    *((int *)t12) = 5;
    t12 = (t11 + 8U);
    *((int *)t12) = -1;
    t7 = (5 - 33);
    t57 = (t7 * -1);
    t57 = (t57 + 1);
    t12 = (t11 + 12U);
    *((unsigned int *)t12) = t57;
    t6 = xsi_base_array_concat(t6, t40, t8, (char)97, t1, t56, (char)97, t3, t58, (char)101);
    t57 = (6U + 29U);
    t44 = (35U != t57);
    if (t44 == 1)
        goto LAB41;
 
LAB42:    t12 = (t0 + 3656);
    t14 = (t12 + 56U);
    t15 = *((char **)t14);
    t17 = (t15 + 56U);
    t18 = *((char **)t17);
    memcpy(t18, t6, 35U);
    xsi_driver_first_trans_fast_port(t12);
    goto LAB2;
 
LAB10:    xsi_set_current_line(74, ng0);
    t1 = (t0 + 1032U);
    t2 = *((char **)t1);
    t43 = (10 - 10);
    t50 = (t43 * 1U);
    t51 = (0 + t50);
    t1 = (t2 + t51);
    t3 = (t0 + 1352U);
    t5 = *((char **)t3);
    t52 = (33 - 33);
    t54 = (t52 * 1U);
    t55 = (0 + t54);
    t3 = (t5 + t55);
    t8 = ((STD_STANDARD) + 1112);
    t9 = (t56 + 0U);
    t11 = (t9 + 0U);
    *((int *)t11) = 10;
    t11 = (t9 + 4U);
    *((int *)t11) = 4;
    t11 = (t9 + 8U);
    *((int *)t11) = -1;
    t4 = (4 - 10);
    t57 = (t4 * -1);
    t57 = (t57 + 1);
    t11 = (t9 + 12U);
    *((unsigned int *)t11) = t57;
    t11 = (t58 + 0U);
    t12 = (t11 + 0U);
    *((int *)t12) = 33;
    t12 = (t11 + 4U);
    *((int *)t12) = 6;
    t12 = (t11 + 8U);
    *((int *)t12) = -1;
    t7 = (6 - 33);
    t57 = (t7 * -1);
    t57 = (t57 + 1);
    t12 = (t11 + 12U);
    *((unsigned int *)t12) = t57;
    t6 = xsi_base_array_concat(t6, t40, t8, (char)97, t1, t56, (char)97, t3, t58, (char)101);
    t57 = (7U + 28U);
    t44 = (35U != t57);
    if (t44 == 1)
        goto LAB43;
 
LAB44:    t12 = (t0 + 3656);
    t14 = (t12 + 56U);
    t15 = *((char **)t14);
    t17 = (t15 + 56U);
    t18 = *((char **)t17);
    memcpy(t18, t6, 35U);
    xsi_driver_first_trans_fast_port(t12);
    goto LAB2;
 
LAB11:    xsi_set_current_line(75, ng0);
    t1 = (t0 + 1032U);
    t2 = *((char **)t1);
    t43 = (10 - 10);
    t50 = (t43 * 1U);
    t51 = (0 + t50);
    t1 = (t2 + t51);
    t3 = (t0 + 1352U);
    t5 = *((char **)t3);
    t52 = (33 - 33);
    t54 = (t52 * 1U);
    t55 = (0 + t54);
    t3 = (t5 + t55);
    t8 = ((STD_STANDARD) + 1112);
    t9 = (t56 + 0U);
    t11 = (t9 + 0U);
    *((int *)t11) = 10;
    t11 = (t9 + 4U);
    *((int *)t11) = 3;
    t11 = (t9 + 8U);
    *((int *)t11) = -1;
    t4 = (3 - 10);
    t57 = (t4 * -1);
    t57 = (t57 + 1);
    t11 = (t9 + 12U);
    *((unsigned int *)t11) = t57;
    t11 = (t58 + 0U);
    t12 = (t11 + 0U);
    *((int *)t12) = 33;
    t12 = (t11 + 4U);
    *((int *)t12) = 7;
    t12 = (t11 + 8U);
    *((int *)t12) = -1;
    t7 = (7 - 33);
    t57 = (t7 * -1);
    t57 = (t57 + 1);
    t12 = (t11 + 12U);
    *((unsigned int *)t12) = t57;
    t6 = xsi_base_array_concat(t6, t40, t8, (char)97, t1, t56, (char)97, t3, t58, (char)101);
    t57 = (8U + 27U);
    t44 = (35U != t57);
    if (t44 == 1)
        goto LAB45;
 
LAB46:    t12 = (t0 + 3656);
    t14 = (t12 + 56U);
    t15 = *((char **)t14);
    t17 = (t15 + 56U);
    t18 = *((char **)t17);
    memcpy(t18, t6, 35U);
    xsi_driver_first_trans_fast_port(t12);
    goto LAB2;
 
LAB12:    xsi_set_current_line(76, ng0);
    t1 = (t0 + 1032U);
    t2 = *((char **)t1);
    t43 = (10 - 10);
    t50 = (t43 * 1U);
    t51 = (0 + t50);
    t1 = (t2 + t51);
    t3 = (t0 + 1352U);
    t5 = *((char **)t3);
    t52 = (33 - 33);
    t54 = (t52 * 1U);
    t55 = (0 + t54);
    t3 = (t5 + t55);
    t8 = ((STD_STANDARD) + 1112);
    t9 = (t56 + 0U);
    t11 = (t9 + 0U);
    *((int *)t11) = 10;
    t11 = (t9 + 4U);
    *((int *)t11) = 2;
    t11 = (t9 + 8U);
    *((int *)t11) = -1;
    t4 = (2 - 10);
    t57 = (t4 * -1);
    t57 = (t57 + 1);
    t11 = (t9 + 12U);
    *((unsigned int *)t11) = t57;
    t11 = (t58 + 0U);
    t12 = (t11 + 0U);
    *((int *)t12) = 33;
    t12 = (t11 + 4U);
    *((int *)t12) = 8;
    t12 = (t11 + 8U);
    *((int *)t12) = -1;
    t7 = (8 - 33);
    t57 = (t7 * -1);
    t57 = (t57 + 1);
    t12 = (t11 + 12U);
    *((unsigned int *)t12) = t57;
    t6 = xsi_base_array_concat(t6, t40, t8, (char)97, t1, t56, (char)97, t3, t58, (char)101);
    t57 = (9U + 26U);
    t44 = (35U != t57);
    if (t44 == 1)
        goto LAB47;
 
LAB48:    t12 = (t0 + 3656);
    t14 = (t12 + 56U);
    t15 = *((char **)t14);
    t17 = (t15 + 56U);
    t18 = *((char **)t17);
    memcpy(t18, t6, 35U);
    xsi_driver_first_trans_fast_port(t12);
    goto LAB2;
 
LAB13:    xsi_set_current_line(77, ng0);
    t1 = (t0 + 1032U);
    t2 = *((char **)t1);
    t43 = (10 - 10);
    t50 = (t43 * 1U);
    t51 = (0 + t50);
    t1 = (t2 + t51);
    t3 = (t0 + 1352U);
    t5 = *((char **)t3);
    t52 = (33 - 33);
    t54 = (t52 * 1U);
    t55 = (0 + t54);
    t3 = (t5 + t55);
    t8 = ((STD_STANDARD) + 1112);
    t9 = (t56 + 0U);
    t11 = (t9 + 0U);
    *((int *)t11) = 10;
    t11 = (t9 + 4U);
    *((int *)t11) = 1;
    t11 = (t9 + 8U);
    *((int *)t11) = -1;
    t4 = (1 - 10);
    t57 = (t4 * -1);
    t57 = (t57 + 1);
    t11 = (t9 + 12U);
    *((unsigned int *)t11) = t57;
    t11 = (t58 + 0U);
    t12 = (t11 + 0U);
    *((int *)t12) = 33;
    t12 = (t11 + 4U);
    *((int *)t12) = 9;
    t12 = (t11 + 8U);
    *((int *)t12) = -1;
    t7 = (9 - 33);
    t57 = (t7 * -1);
    t57 = (t57 + 1);
    t12 = (t11 + 12U);
    *((unsigned int *)t12) = t57;
    t6 = xsi_base_array_concat(t6, t40, t8, (char)97, t1, t56, (char)97, t3, t58, (char)101);
    t57 = (10U + 25U);
    t44 = (35U != t57);
    if (t44 == 1)
        goto LAB49;
 
LAB50:    t12 = (t0 + 3656);
    t14 = (t12 + 56U);
    t15 = *((char **)t14);
    t17 = (t15 + 56U);
    t18 = *((char **)t17);
    memcpy(t18, t6, 35U);
    xsi_driver_first_trans_fast_port(t12);
    goto LAB2;
 
LAB14:    xsi_set_current_line(78, ng0);
    t1 = (t0 + 1032U);
    t2 = *((char **)t1);
    t1 = (t0 + 1352U);
    t3 = *((char **)t1);
    t43 = (33 - 33);
    t50 = (t43 * 1U);
    t51 = (0 + t50);
    t1 = (t3 + t51);
    t6 = ((STD_STANDARD) + 1112);
    t8 = (t0 + 5820U);
    t9 = (t56 + 0U);
    t11 = (t9 + 0U);
    *((int *)t11) = 33;
    t11 = (t9 + 4U);
    *((int *)t11) = 10;
    t11 = (t9 + 8U);
    *((int *)t11) = -1;
    t4 = (10 - 33);
    t52 = (t4 * -1);
    t52 = (t52 + 1);
    t11 = (t9 + 12U);
    *((unsigned int *)t11) = t52;
    t5 = xsi_base_array_concat(t5, t40, t6, (char)97, t2, t8, (char)97, t1, t56, (char)101);
    t52 = (11U + 24U);
    t44 = (35U != t52);
    if (t44 == 1)
        goto LAB51;
 
LAB52:    t11 = (t0 + 3656);
    t12 = (t11 + 56U);
    t14 = *((char **)t12);
    t15 = (t14 + 56U);
    t17 = *((char **)t15);
    memcpy(t17, t5, 35U);
    xsi_driver_first_trans_fast_port(t11);
    goto LAB2;
 
LAB28:;
LAB29:    xsi_size_not_matching(35U, t43, 0);
    goto LAB30;
 
LAB31:    xsi_size_not_matching(35U, t52, 0);
    goto LAB32;
 
LAB33:    xsi_size_not_matching(35U, t57, 0);
    goto LAB34;
 
LAB35:    xsi_size_not_matching(35U, t57, 0);
    goto LAB36;
 
LAB37:    xsi_size_not_matching(35U, t57, 0);
    goto LAB38;
 
LAB39:    xsi_size_not_matching(35U, t57, 0);
    goto LAB40;
 
LAB41:    xsi_size_not_matching(35U, t57, 0);
    goto LAB42;
 
LAB43:    xsi_size_not_matching(35U, t57, 0);
    goto LAB44;
 
LAB45:    xsi_size_not_matching(35U, t57, 0);
    goto LAB46;
 
LAB47:    xsi_size_not_matching(35U, t57, 0);
    goto LAB48;
 
LAB49:    xsi_size_not_matching(35U, t57, 0);
    goto LAB50;
 
LAB51:    xsi_size_not_matching(35U, t52, 0);
    goto LAB52;
 
}
 
static void work_a_2144605995_2207681426_p_1(char *t0)
{
    char t1[16];
    char t6[16];
    char t8[16];
    char *t2;
    char *t4;
    char *t5;
    char *t7;
    char *t9;
    char *t10;
    int t11;
    unsigned int t12;
    char *t13;
    char *t14;
    char *t15;
    char *t16;
    unsigned int t17;
    unsigned char t18;
    char *t19;
    char *t20;
    char *t21;
    char *t22;
    char *t23;
    char *t24;
 
LAB0:    xsi_set_current_line(85, ng0);
 
LAB3:    t2 = (t0 + 6062);
    t4 = (t0 + 1192U);
    t5 = *((char **)t4);
    t7 = ((STD_STANDARD) + 1112);
    t9 = (t8 + 0U);
    t10 = (t9 + 0U);
    *((int *)t10) = 0;
    t10 = (t9 + 4U);
    *((int *)t10) = 1;
    t10 = (t9 + 8U);
    *((int *)t10) = 1;
    t11 = (1 - 0);
    t12 = (t11 * 1);
    t12 = (t12 + 1);
    t10 = (t9 + 12U);
    *((unsigned int *)t10) = t12;
    t10 = (t0 + 5836U);
    t4 = xsi_base_array_concat(t4, t6, t7, (char)97, t2, t8, (char)97, t5, t10, (char)101);
    t13 = (t0 + 1512U);
    t14 = *((char **)t13);
    t13 = (t0 + 5868U);
    t15 = dzx_p_3728046382_sub_3251038083_2632892140(DZX_P_3728046382, t1, t4, t6, t14, t13);
    t16 = (t1 + 12U);
    t12 = *((unsigned int *)t16);
    t17 = (1U * t12);
    t18 = (6U != t17);
    if (t18 == 1)
        goto LAB5;
 
LAB6:    t19 = (t0 + 3720);
    t20 = (t19 + 56U);
    t21 = *((char **)t20);
    t22 = (t21 + 56U);
    t23 = *((char **)t22);
    memcpy(t23, t15, 6U);
    xsi_driver_first_trans_fast_port(t19);
 
LAB2:    t24 = (t0 + 3576);
    *((int *)t24) = 1;
 
LAB1:    return;
LAB4:    goto LAB2;
 
LAB5:    xsi_size_not_matching(6U, t17, 0);
    goto LAB6;
 
}
 
 
extern void work_a_2144605995_2207681426_init()
{
	static char *pe[] = {(void *)work_a_2144605995_2207681426_p_0,(void *)work_a_2144605995_2207681426_p_1};
	xsi_register_didat("work_a_2144605995_2207681426", "isim/testbench_isim_beh.exe.sim/work/a_2144605995_2207681426.didat");
	xsi_register_executes(pe);
}
 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.