URL
https://opencores.org/ocsvn/z80soc/z80soc/trunk
Subversion Repositories z80soc
[/] [z80soc/] [trunk/] [V0.7/] [DE1/] [vhdl/] [z80soc_pack.vhd] - Rev 44
Go to most recent revision | Compare with Previous | Blame | View Log
library ieee; use ieee.std_logic_1164.all; package z80soc_pack is constant vid_cols : integer := 40; -- video number of columns constant vid_lines : integer := 30; -- video number of lines constant vram_base_addr : std_logic_vector(15 downto 0) := x"4000"; constant pixelsxchar : integer := 1; end z80soc_pack;
Go to most recent revision | Compare with Previous | Blame | View Log