OpenCores
URL https://opencores.org/ocsvn/6809_6309_compatible_core/6809_6309_compatible_core/trunk

Subversion Repositories 6809_6309_compatible_core

[/] [6809_6309_compatible_core/] [trunk/] [sim/] [p6809.out] - Rev 18

Compare with Previous | Blame | View Log

#! /c/iverilog/bin/vvp
:ivl_version "0.9.7 " "(v0_9_7)";
:vpi_time_precision - 9;
:vpi_module "system";
:vpi_module "v2005_math";
:vpi_module "va_math";
S_00B19318 .scope module, "tb" "tb" 2 8;
 .timescale -9 -9;
L_00B6B1E8 .functor BUFZ 16, v01069E78_0, C4<0000000000000000>, C4<0000000000000000>, C4<0000000000000000>;
L_00B6B258 .functor BUFZ 8, v01069ED0_0, C4<00000000>, C4<00000000>, C4<00000000>;
v0106B850_0 .net "addr", 15 0, v01069E78_0; 1 drivers
v0106B8A8_0 .net "addr_o", 15 0, L_00B6B1E8; 1 drivers
v0106B930_0 .var "clk", 0 0;
v0106B988_0 .net "data_i", 7 0, v00B12A38_0; 1 drivers
v0106B9E0_0 .net "data_o", 7 0, v01069ED0_0; 1 drivers
v0106BA38_0 .net "data_o_o", 7 0, L_00B6B258; 1 drivers
v0106BA90_0 .var "firq", 0 0;
v0106BAE8_0 .var "irq", 0 0;
v0106BB40_0 .var "nmi", 0 0;
v0106BB98_0 .net "oe", 0 0, v01069F28_0; 1 drivers
v0106BBF0_0 .var "reset", 0 0;
v0106BC48_0 .net "we", 0 0, v01069F80_0; 1 drivers
L_010715A8 .reduce/nor v01069F28_0;
L_01071600 .reduce/nor v01069F80_0;
S_00B18D40 .scope module, "cpu" "MC6809_cpu" 2 20, 3 10, S_00B19318;
 .timescale -9 -9;
L_00B6B2C8 .functor AND 1, L_0106BCA0, L_0106BCF8, C4<1>, C4<1>;
L_00B6B3A8 .functor AND 1, L_0106BD50, L_0106BDA8, C4<1>, C4<1>;
L_00B6B488 .functor AND 1, L_0106BE00, L_0106BE58, C4<1>, C4<1>;
L_0106F600 .functor AND 1, L_01078210, L_0106E5E8, C4<1>, C4<1>;
L_00B6B1B0 .functor BUFZ 1, v0106BBF0_0, C4<0>, C4<0>, C4<0>;
v01068A58_0 .net *"_s1", 0 0, L_0106BCA0; 1 drivers
v01068AB0_0 .net *"_s13", 0 0, L_0106BE00; 1 drivers
v01068B08_0 .net *"_s15", 0 0, L_0106BE58; 1 drivers
v01068B60_0 .net *"_s24", 8 0, L_0106E538; 1 drivers
v01068BB8_0 .net *"_s27", 2 0, C4<000>; 1 drivers
v01068C10_0 .net *"_s28", 8 0, C4<000010010>; 1 drivers
v01068C68_0 .net *"_s3", 0 0, L_0106BCF8; 1 drivers
v01068CC0_0 .net *"_s30", 0 0, L_0106E5E8; 1 drivers
v01068D18_0 .net *"_s7", 0 0, L_0106BD50; 1 drivers
v01068D70_0 .net *"_s9", 0 0, L_0106BDA8; 1 drivers
v01068DC8_0 .net "alu_o_CCR", 7 0, v01068530_0; 1 drivers
v01068E20_0 .net "alu_o_result", 15 0, v010688A0_0; 1 drivers
v01068E78_0 .alias "cpu_addr_o", 15 0, v0106B850_0;
v01068ED0_0 .net "cpu_clk", 0 0, v0106B930_0; 1 drivers
v01068F28_0 .alias "cpu_data_i", 7 0, v0106B988_0;
v01068F80_0 .alias "cpu_data_o", 7 0, v0106B9E0_0;
v01068FD8_0 .net "cpu_dtack_i", 0 0, C4<1>; 1 drivers
v01069030_0 .net "cpu_firq_n", 0 0, v0106BA90_0; 1 drivers
v01069088_0 .net "cpu_irq_n", 0 0, v0106BAE8_0; 1 drivers
v01069138_0 .net "cpu_nmi_n", 0 0, v0106BB40_0; 1 drivers
v010690E0_0 .alias "cpu_oe_o", 0 0, v0106BB98_0;
v01069190_0 .net "cpu_reset", 0 0, v0106BBF0_0; 1 drivers
v010691E8_0 .net "cpu_state_o", 5 0, L_01071550; 1 drivers
v01069240_0 .alias "cpu_we_o", 0 0, v0106BC48_0;
v01069298_0 .var "datamux_o_alu_in_left_path_addr", 3 0;
v010692F0_0 .var "datamux_o_alu_in_left_path_data", 15 0;
v01069348_0 .var "datamux_o_alu_in_right_path_data", 15 0;
v010693A0_0 .var "datamux_o_dest", 15 0;
v010693F8_0 .var "datamux_o_dest_reg_addr", 3 0;
v01069450_0 .net "debug_clk", 0 0, C4<z>; 0 drivers
v010694A8_0 .net "debug_data_o", 0 0, C4<0>; 1 drivers
v01069530_0 .net "dec_lo_dest_memtype", 1 0, v01062588_0; 1 drivers
v01069588_0 .net "dec_lo_dest_reg_addr", 3 0, v01062638_0; 1 drivers
v010695E0_0 .net "dec_lo_left_path_addr", 3 0, v01062F80_0; 1 drivers
v01069638_0 .net "dec_lo_left_path_memtype", 1 0, v01062FD8_0; 1 drivers
v01069690_0 .net "dec_lo_right_path_addr", 3 0, v01063088_0; 1 drivers
v010696E8_0 .net "dec_lo_right_path_memtype", 1 0, v010630E0_0; 1 drivers
v01069740_0 .net "dec_o_alu_opcode", 4 0, L_01078328; 1 drivers
v01069798_0 .net "dec_o_alu_size", 0 0, L_0106E8A8; 1 drivers
v010697F0_0 .net "dec_o_cond_taken", 0 0, v00B12D50_0; 1 drivers
v01069848_0 .net "dec_o_dest_memtype", 1 0, L_01071030; 1 drivers
v010698A0_0 .net "dec_o_ea_indirect", 0 0, L_00AFEE38; 1 drivers
v010698F8_0 .net "dec_o_ea_ofs16", 0 0, v01060588_0; 1 drivers
v01069950_0 .net "dec_o_ea_ofs5", 0 0, v010605E0_0; 1 drivers
v010699A8_0 .net "dec_o_ea_ofs8", 0 0, v01060638_0; 1 drivers
v01069A00_0 .net "dec_o_ea_wpost", 0 0, v01060690_0; 1 drivers
v01069A58_0 .net "dec_o_eabase", 3 0, v010606E8_0; 1 drivers
v01069AB0_0 .net "dec_o_eaidx", 3 0, v01060740_0; 1 drivers
v01069B08_0 .net "dec_o_left_path_memtype", 1 0, L_01070F18; 1 drivers
v01069B60_0 .net "dec_o_operand_read", 0 0, L_01070CE8; 1 drivers
v01069BB8_0 .net "dec_o_operand_write", 0 0, L_010712E8; 1 drivers
v01069C10_0 .net "dec_o_p1_mode", 2 0, v010627F0_0; 1 drivers
v01069C68_0 .net "dec_o_right_path_memtype", 1 0, L_01070FC0; 1 drivers
v01069CC0_0 .net "dec_o_source_size", 0 0, L_0106F868; 1 drivers
v01069D18_0 .net "dec_o_use_s", 0 0, v01063348_0; 1 drivers
v01069D70_0 .net "dec_o_wdest", 0 0, L_0106E640; 1 drivers
v01069DC8_0 .net "dec_o_write_flags", 0 0, L_01078210; 1 drivers
v01069E20_0 .var "k_clear_e", 0 0;
v01069E78_0 .var "k_cpu_addr", 15 0;
v01069ED0_0 .var "k_cpu_data_o", 7 0;
v01069F28_0 .var "k_cpu_oe", 0 0;
v01069F80_0 .var "k_cpu_we", 0 0;
v01069FD8_0 .var "k_dec_su", 0 0;
v0106A030_0 .var "k_eahi", 7 0;
v0106A088_0 .var "k_ealo", 7 0;
v0106A0E0_0 .var "k_exception_process", 0 0;
v0106A138_0 .net "k_firq_req", 0 0, L_00B6B3A8; 1 drivers
v0106A190_0 .var "k_force_read_word_from_mem", 0 0;
v0106A1E8_0 .var "k_inc_pc", 0 0;
v0106A240_0 .var "k_inc_su", 0 0;
v0106A298_0 .var "k_ind_ea", 7 0;
v0106A2F0_0 .var "k_indirect_loaded", 0 0;
v0106A348_0 .net "k_irq_req", 0 0, L_00B6B488; 1 drivers
v0106A3A0_0 .var "k_mem_state", 2 0;
v0106A3F8_0 .var "k_memhi", 7 0;
v0106A450_0 .var "k_memlo", 7 0;
v0106A4A8_0 .var "k_mul_cnt", 0 0;
v0106A930_0 .var "k_new_pc", 15 0;
v0106A988_0 .net "k_nmi_req", 0 0, L_00B6B2C8; 1 drivers
v0106A9E0_0 .var "k_ofshi", 7 0;
v0106AA38_0 .var "k_ofslo", 7 0;
v0106AA90_0 .var "k_opcode", 7 0;
v0106AAE8_0 .var "k_p2_valid", 0 0;
v0106AB40_0 .var "k_p3_valid", 0 0;
v0106AB98_0 .var "k_postbyte", 7 0;
v0106ABF0_0 .var "k_pp_active_reg", 3 0;
v0106AC48_0 .var "k_pp_regs", 7 0;
v0106ACA0_0 .var "k_reg_firq", 2 0;
v0106ACF8_0 .var "k_reg_irq", 2 0;
v0106AD50_0 .var "k_reg_nmi", 2 0;
v0106ADA8_0 .net "k_reset", 0 0, L_00B6B1B0; 1 drivers
v0106AE00_0 .var "k_set_e", 0 0;
v0106AE58_0 .var "k_write_dest", 0 0;
v0106AEB0_0 .var "k_write_exg", 0 0;
v0106AF08_0 .var "k_write_pc", 0 0;
v0106AF60_0 .var "k_write_post_incdec", 0 0;
v0106AFB8_0 .var "k_write_tfr", 0 0;
v0106B010_0 .var "next_mem_state", 5 0;
v0106B068_0 .var "next_push_state", 5 0;
v0106B0C0_0 .var "next_state", 5 0;
v0106B118_0 .net "op_CWAI", 0 0, v010628A0_0; 1 drivers
v0106B170_0 .net "op_EXG", 0 0, v010628F8_0; 1 drivers
v0106B1C8_0 .net "op_JMP", 0 0, v01062950_0; 1 drivers
v0106B220_0 .net "op_JSR", 0 0, v010629A8_0; 1 drivers
v0106B278_0 .net "op_LEA", 0 0, v01062A00_0; 1 drivers
v0106B2D0_0 .net "op_MUL", 0 0, v01062A58_0; 1 drivers
v0106B328_0 .net "op_PULL", 0 0, v01062AB0_0; 1 drivers
v0106B380_0 .net "op_PUSH", 0 0, v01062B08_0; 1 drivers
v0106B3D8_0 .net "op_RTI", 0 0, v01062B60_0; 1 drivers
v0106B430_0 .net "op_RTS", 0 0, v01062BB8_0; 1 drivers
v0106B488_0 .net "op_SWI", 0 0, v01062C10_0; 1 drivers
v0106B4E0_0 .net "op_SYNC", 0 0, v01062C68_0; 1 drivers
v0106B538_0 .net "op_TFR", 0 0, v01062CC0_0; 1 drivers
v0106B590_0 .net "regs_o_CCR", 7 0, L_0106E278; 1 drivers
v0106B5E8_0 .net "regs_o_dp", 7 0, v01063B08_0; 1 drivers
v0106B640_0 .net "regs_o_eamem_addr", 15 0, L_0106F168; 1 drivers
v0106B698_0 .net "regs_o_left_path_data", 15 0, v010645E0_0; 1 drivers
v0106B6F0_0 .net "regs_o_pc", 15 0, L_0106EEA8; 1 drivers
v0106B748_0 .net "regs_o_right_path_data", 15 0, v01064690_0; 1 drivers
v0106B7A0_0 .net "regs_o_su", 15 0, L_0106E2D0; 1 drivers
v0106B7F8_0 .var "state", 5 0;
E_00AF0778 .event posedge, v0106ADA8_0, v010614A8_0;
E_00AF0758/0 .event edge, v0106A3F8_0, v0106A450_0, v010630E0_0, v01062848_0;
E_00AF0758/1 .event edge, v01064690_0;
E_00AF0758 .event/or E_00AF0758/0, E_00AF0758/1;
E_00AF0718/0 .event edge, v0106A3F8_0, v0106A450_0, v01062848_0, v01064798_0;
E_00AF0718/1 .event edge, v0106A030_0, v0106A088_0, v01064740_0, v01060530_0;
E_00AF0718/2 .event edge, v010640E0_0;
E_00AF0718 .event/or E_00AF0718/0, E_00AF0718/1, E_00AF0718/2;
E_00AEF498/0 .event edge, v01062FD8_0, v0106A3F8_0, v0106A450_0, v01062A00_0;
E_00AEF498/1 .event edge, v01060530_0, v010640E0_0, v010645E0_0;
E_00AEF498 .event/or E_00AEF498/0, E_00AEF498/1;
E_00AEF4B8/0 .event edge, v01062AB0_0, v01062BB8_0, v01062B60_0, v0106A3F8_0;
E_00AEF4B8/1 .event edge, v0106A450_0, v01062A00_0, v01060530_0, v010640E0_0;
E_00AEF4B8/2 .event edge, v010688A0_0;
E_00AEF4B8 .event/or E_00AEF4B8/0, E_00AEF4B8/1, E_00AEF4B8/2;
E_00AEF298 .event edge, v0106ABF0_0, v01062638_0;
E_00AEF278 .event edge, v0106ABF0_0, v01062F80_0;
L_0106BCA0 .part v0106AD50_0, 2, 1;
L_0106BCF8 .part v0106AD50_0, 1, 1;
L_0106BD50 .part v0106ACA0_0, 2, 1;
L_0106BDA8 .part v0106ACA0_0, 1, 1;
L_0106BE00 .part v0106ACF8_0, 2, 1;
L_0106BE58 .part v0106ACF8_0, 1, 1;
L_0106E488 .part v0106AB98_0, 4, 4;
L_0106E4E0 .concat [ 8 8 0 0], v0106AA38_0, v0106A9E0_0;
L_0106E538 .concat [ 6 3 0 0], v0106B7F8_0, C4<000>;
L_0106E5E8 .cmp/eq 9, L_0106E538, C4<000010010>;
L_01071550 .part L_0106E278, 0, 6;
S_00B18900 .scope module, "alu" "alu" 3 125, 4 15, S_00B18D40;
 .timescale -9 -9;
v010684A8_0 .alias "CCR", 7 0, v0106B590_0;
v01068530_0 .var "CCRo", 7 0;
v01068588_0 .net "a_in", 15 0, v010692F0_0; 1 drivers
v010685E0_0 .net "b_in", 15 0, v01069348_0; 1 drivers
v01068638_0 .net "ccr16_out", 3 0, v01064F80_0; 1 drivers
v01068690_0 .net "ccr8_out", 7 0, v01066088_0; 1 drivers
v010686E8_0 .alias "clk_in", 0 0, v01068ED0_0;
v01068740_0 .alias "opcode_in", 4 0, v01069740_0;
v01068798_0 .net "q16_mul", 15 0, v010683F8_0; 1 drivers
v010687F0_0 .net "q16_out", 15 0, v01065450_0; 1 drivers
v01068848_0 .net "q8_out", 7 0, v01067F80_0; 1 drivers
v010688A0_0 .var "q_out", 15 0;
v010688F8_0 .var "ra_in", 15 0;
v01068950_0 .var "rb_in", 15 0;
v010689A8_0 .var "rop_in", 4 0;
v01068A00_0 .alias "sz_in", 0 0, v01069798_0;
E_00AF2098/0 .event edge, v010631E8_0, v01065450_0, v00B12CF8_0, v01064F80_0;
E_00AF2098/1 .event edge, v01067F80_0, v01066088_0;
E_00AF2098 .event/or E_00AF2098/0, E_00AF2098/1;
L_0106BEB0 .part v010692F0_0, 0, 8;
L_0106BF08 .part v01069348_0, 0, 8;
L_0106E010 .part v010688F8_0, 0, 8;
L_0106E068 .part v01068950_0, 0, 8;
S_00B18ED8 .scope module, "mulu" "mul8x8" 4 33, 4 618, S_00B18900;
 .timescale -9 -9;
v01068298_0 .net "a", 7 0, L_0106BEB0; 1 drivers
v010682F0_0 .net "b", 7 0, L_0106BF08; 1 drivers
v01068348_0 .alias "clk_in", 0 0, v01068ED0_0;
v010683A0_0 .var "pipe0", 15 0;
v010683F8_0 .var "pipe1", 15 0;
v01068450_0 .alias "q", 15 0, v01068798_0;
S_00B190F8 .scope module, "alu8" "alu8" 4 34, 4 200, S_00B18900;
 .timescale -9 -9;
L_0106C930 .functor NOT 8, L_0106E010, C4<00000000>, C4<00000000>, C4<00000000>;
L_0106C968 .functor BUFZ 8, L_0106C930, C4<00000000>, C4<00000000>, C4<00000000>;
L_0106CAB8 .functor BUFZ 8, L_0106C118, C4<00000000>, C4<00000000>, C4<00000000>;
L_0106CAF0 .functor OR 1, L_0106C220, L_0106C278, C4<0>, C4<0>;
L_0106CBD0 .functor OR 1, L_0106CAF0, L_0106C2D0, C4<0>, C4<0>;
L_0106CB98 .functor OR 1, L_0106CBD0, L_0106C328, C4<0>, C4<0>;
L_0106CC78 .functor OR 1, L_0106CB98, L_0106C380, C4<0>, C4<0>;
L_0106CD90 .functor OR 1, L_0106CC78, L_0106C3D8, C4<0>, C4<0>;
L_0106CE38 .functor OR 1, L_0106CD90, L_0106C430, C4<0>, C4<0>;
L_0106CEE0 .functor OR 1, L_0106CE38, L_0106C488, C4<0>, C4<0>;
L_0106CF88 .functor NOT 1, L_0106C538, C4<0>, C4<0>, C4<0>;
L_0106D030 .functor AND 1, L_0106C4E0, L_0106CF88, C4<1>, C4<1>;
L_0106CFF8 .functor NOT 1, L_0106C590, C4<0>, C4<0>, C4<0>;
L_0106D0D8 .functor AND 1, L_0106D030, L_0106CFF8, C4<1>, C4<1>;
L_0106D1D8 .functor NOT 1, L_0106C640, C4<0>, C4<0>, C4<0>;
L_0106D248 .functor AND 1, L_0106D0D8, L_0106D1D8, C4<1>, C4<1>;
L_0106D2F0 .functor NOT 1, L_0106C5E8, C4<0>, C4<0>, C4<0>;
L_0106D360 .functor AND 1, L_0106D248, L_0106D2F0, C4<1>, C4<1>;
L_0106D408 .functor NOT 1, L_0106C698, C4<0>, C4<0>, C4<0>;
L_0106D478 .functor AND 1, L_0106D360, L_0106D408, C4<1>, C4<1>;
L_0106D520 .functor NOT 1, L_0106C6F0, C4<0>, C4<0>, C4<0>;
L_0106D590 .functor AND 1, L_0106D478, L_0106D520, C4<1>, C4<1>;
L_0106D638 .functor NOT 1, L_0106C748, C4<0>, C4<0>, C4<0>;
L_0106D6A8 .functor AND 1, L_0106D590, L_0106D638, C4<1>, C4<1>;
L_0106E930 .functor OR 1, L_0106DB40, L_0106C0C0, C4<0>, C4<0>;
L_0106EBD0 .functor XNOR 1, L_0106BF60, C4<1>, C4<0>, C4<0>;
L_0106EC40 .functor OR 1, L_0106DDA8, L_0106EBD0, C4<0>, C4<0>;
v01066030_0 .alias "CCR", 7 0, v0106B590_0;
v01066088_0 .var "CCRo", 7 0;
v010660E0_0 .net *"_s101", 0 0, L_0106C748; 1 drivers
v01066138_0 .net *"_s102", 0 0, L_0106D638; 1 drivers
v01066190_0 .net *"_s113", 3 0, L_0106DAE8; 1 drivers
v010661E8_0 .net *"_s114", 3 0, C4<1001>; 1 drivers
v01066240_0 .net *"_s116", 0 0, L_0106DB40; 1 drivers
v01066298_0 .net *"_s118", 0 0, L_0106E930; 1 drivers
v010662F0_0 .net *"_s12", 7 0, C4<00000000>; 1 drivers
v01066348_0 .net *"_s120", 7 0, C4<00000110>; 1 drivers
v010663A0_0 .net *"_s122", 7 0, L_0106DB98; 1 drivers
v010663F8_0 .net *"_s130", 3 0, L_0106DCF8; 1 drivers
v01066450_0 .net *"_s131", 5 0, L_0106DD50; 1 drivers
v010664A8_0 .net *"_s134", 1 0, C4<00>; 1 drivers
v01066530_0 .net *"_s135", 5 0, C4<001001>; 1 drivers
v01066588_0 .net *"_s137", 0 0, L_0106DDA8; 1 drivers
v010665E0_0 .net *"_s139", 0 0, C4<1>; 1 drivers
v01066638_0 .net *"_s141", 0 0, L_0106EBD0; 1 drivers
v01066690_0 .net *"_s143", 0 0, L_0106EC40; 1 drivers
v01066740_0 .net *"_s145", 0 0, C4<0>; 1 drivers
v010666E8_0 .net *"_s148", 3 0, L_0106DE00; 1 drivers
v01066798_0 .net *"_s149", 4 0, L_0106DE58; 1 drivers
v010667F0_0 .net *"_s151", 4 0, C4<00110>; 1 drivers
v01066848_0 .net *"_s153", 4 0, L_0106DEB0; 1 drivers
v010668A0_0 .net *"_s155", 0 0, C4<0>; 1 drivers
v010668F8_0 .net *"_s158", 3 0, L_0106DF08; 1 drivers
v01066950_0 .net *"_s159", 4 0, L_0106DF60; 1 drivers
v010669A8_0 .net *"_s161", 4 0, L_0106DFB8; 1 drivers
v01066A00_0 .net *"_s18", 7 0, C4<00000000>; 1 drivers
v01066A58_0 .net *"_s20", 0 0, L_0106C170; 1 drivers
v01066AB0_0 .net *"_s22", 0 0, C4<1>; 1 drivers
v01066B08_0 .net *"_s24", 0 0, C4<0>; 1 drivers
v01066B60_0 .net *"_s33", 0 0, L_0106C220; 1 drivers
v01066BB8_0 .net *"_s35", 0 0, L_0106C278; 1 drivers
v01066C10_0 .net *"_s36", 0 0, L_0106CAF0; 1 drivers
v01066C68_0 .net *"_s39", 0 0, L_0106C2D0; 1 drivers
v01066CC0_0 .net *"_s40", 0 0, L_0106CBD0; 1 drivers
v01066D18_0 .net *"_s43", 0 0, L_0106C328; 1 drivers
v01066D70_0 .net *"_s44", 0 0, L_0106CB98; 1 drivers
v01066DC8_0 .net *"_s47", 0 0, L_0106C380; 1 drivers
v01066E20_0 .net *"_s48", 0 0, L_0106CC78; 1 drivers
v01066E78_0 .net *"_s51", 0 0, L_0106C3D8; 1 drivers
v01066ED0_0 .net *"_s52", 0 0, L_0106CD90; 1 drivers
v01066F28_0 .net *"_s55", 0 0, L_0106C430; 1 drivers
v01066F80_0 .net *"_s56", 0 0, L_0106CE38; 1 drivers
v01066FD8_0 .net *"_s59", 0 0, L_0106C488; 1 drivers
v01067030_0 .net *"_s63", 0 0, L_0106C4E0; 1 drivers
v01067088_0 .net *"_s65", 0 0, L_0106C538; 1 drivers
v010670E0_0 .net *"_s66", 0 0, L_0106CF88; 1 drivers
v01067138_0 .net *"_s68", 0 0, L_0106D030; 1 drivers
v01067190_0 .net *"_s71", 0 0, L_0106C590; 1 drivers
v010671E8_0 .net *"_s72", 0 0, L_0106CFF8; 1 drivers
v01067240_0 .net *"_s74", 0 0, L_0106D0D8; 1 drivers
v01067298_0 .net *"_s77", 0 0, L_0106C640; 1 drivers
v010672F0_0 .net *"_s78", 0 0, L_0106D1D8; 1 drivers
v01067348_0 .net *"_s80", 0 0, L_0106D248; 1 drivers
v010673A0_0 .net *"_s83", 0 0, L_0106C5E8; 1 drivers
v010673F8_0 .net *"_s84", 0 0, L_0106D2F0; 1 drivers
v01067450_0 .net *"_s86", 0 0, L_0106D360; 1 drivers
v010674A8_0 .net *"_s89", 0 0, L_0106C698; 1 drivers
v01067530_0 .net *"_s90", 0 0, L_0106D408; 1 drivers
v01067588_0 .net *"_s92", 0 0, L_0106D478; 1 drivers
v010675E0_0 .net *"_s95", 0 0, L_0106C6F0; 1 drivers
v01067638_0 .net *"_s96", 0 0, L_0106D520; 1 drivers
v01067690_0 .net *"_s98", 0 0, L_0106D590; 1 drivers
v010676E8_0 .net "a_in", 7 0, L_0106E010; 1 drivers
v01067740_0 .var "alu8_b_in", 7 0;
v01067798_0 .net "arith_c", 0 0, v01065CC0_0; 1 drivers
v010677F0_0 .net "arith_h", 0 0, v01065D70_0; 1 drivers
v01067848_0 .net "arith_q", 7 0, v01065E78_0; 1 drivers
v010678A0_0 .net "arith_v", 0 0, v01065E20_0; 1 drivers
v010678F8_0 .net "b_in", 7 0, L_0106E068; 1 drivers
v01067950_0 .var "c8", 0 0;
v010679A8_0 .net "c_in", 0 0, L_0106BF60; 1 drivers
v01067A00_0 .net "ccom8_r", 0 0, L_0106C1C8; 1 drivers
v01067A58_0 .net "cdaa8_r", 0 0, L_0106DC48; 1 drivers
v01067AB0_0 .alias "clk_in", 0 0, v01068ED0_0;
v01067B08_0 .net "cneg8_r", 0 0, L_0106CEE0; 1 drivers
v01067B60_0 .net "com8_r", 7 0, L_0106C968; 1 drivers
v01067BB8_0 .net "com8_w", 7 0, L_0106C930; 1 drivers
v01067C10_0 .net "daa8h_r", 3 0, L_0106DCA0; 1 drivers
v01067C68_0 .net "daa_p0_r", 7 0, L_0106DBF0; 1 drivers
v01067CC0_0 .var "h8", 0 0;
v01067D18_0 .net "h_in", 0 0, L_0106C0C0; 1 drivers
v01067D70_0 .net "logic_q", 7 0, v01065FD8_0; 1 drivers
v01067DC8_0 .net "n_in", 0 0, L_0106BFB8; 1 drivers
v01067E20_0 .net "neg8_r", 7 0, L_0106CAB8; 1 drivers
v01067E78_0 .net "neg8_w", 7 0, L_0106C118; 1 drivers
v01067ED0_0 .net "opcode_in", 4 0, v010689A8_0; 1 drivers
v01067F28_0 .var "q8", 7 0;
v01067F80_0 .var "q_out", 7 0;
v01067FD8_0 .net "shift_c", 0 0, L_0106DA38; 1 drivers
v01068030_0 .net "shift_q", 7 0, v01065A58_0; 1 drivers
v01068088_0 .net "shift_v", 0 0, v01065A00_0; 1 drivers
v010680E0_0 .var "v8", 0 0;
v01068138_0 .net "v_in", 0 0, L_0106C010; 1 drivers
v01068190_0 .net "vcom8_r", 0 0, C4<0>; 1 drivers
v010681E8_0 .net "vneg8_r", 0 0, L_0106D6A8; 1 drivers
v01068240_0 .net "z_in", 0 0, L_0106C068; 1 drivers
E_00AF1F78/0 .event edge, v01067F28_0, v00B12CF8_0, v01067CC0_0, v010680E0_0;
E_00AF1F78/1 .event edge, v01067950_0;
E_00AF1F78 .event/or E_00AF1F78/0, E_00AF1F78/1;
E_00B4E980/0 .event edge, v010658A0_0, v01065D18_0, v010659A8_0, v01065348_0;
E_00B4E980/1 .event edge, v010657F0_0, v01065E78_0, v01065CC0_0, v01065E20_0;
E_00B4E980/2 .event edge, v01065D70_0, v01067B60_0, v01068190_0, v01067E20_0;
E_00B4E980/3 .event edge, v01067B08_0, v010681E8_0, v01065A58_0, v010658F8_0;
E_00B4E980/4 .event edge, v01065A00_0, v01065FD8_0, v01067C10_0, v01067C68_0;
E_00B4E980/5 .event edge, v01067A58_0;
E_00B4E980 .event/or E_00B4E980/0, E_00B4E980/1, E_00B4E980/2, E_00B4E980/3, E_00B4E980/4, E_00B4E980/5;
E_00B4E920 .event edge, v01065848_0, v01065348_0;
L_0106BF60 .part L_0106E278, 0, 1;
L_0106BFB8 .part L_0106E278, 3, 1;
L_0106C010 .part L_0106E278, 1, 1;
L_0106C068 .part L_0106E278, 2, 1;
L_0106C0C0 .part L_0106E278, 5, 1;
L_0106C118 .arith/sub 8, C4<00000000>, L_0106E010;
L_0106C170 .cmp/ne 8, L_0106C930, C4<00000000>;
L_0106C1C8 .functor MUXZ 1, C4<0>, C4<1>, L_0106C170, C4<>;
L_0106C220 .part L_0106C118, 7, 1;
L_0106C278 .part L_0106C118, 6, 1;
L_0106C2D0 .part L_0106C118, 5, 1;
L_0106C328 .part L_0106C118, 4, 1;
L_0106C380 .part L_0106C118, 3, 1;
L_0106C3D8 .part L_0106C118, 2, 1;
L_0106C430 .part L_0106C118, 1, 1;
L_0106C488 .part L_0106C118, 0, 1;
L_0106C4E0 .part L_0106C118, 7, 1;
L_0106C538 .part L_0106C118, 6, 1;
L_0106C590 .part L_0106C118, 5, 1;
L_0106C640 .part L_0106C118, 4, 1;
L_0106C5E8 .part L_0106C118, 3, 1;
L_0106C698 .part L_0106C118, 2, 1;
L_0106C6F0 .part L_0106C118, 1, 1;
L_0106C748 .part L_0106C118, 0, 1;
L_0106C7A0 .part v010689A8_0, 0, 2;
L_0106C8A8 .part v010689A8_0, 0, 2;
L_0106DA90 .part v010689A8_0, 0, 3;
L_0106DAE8 .part L_0106E010, 0, 4;
L_0106DB40 .cmp/gt 4, L_0106DAE8, C4<1001>;
L_0106DB98 .arith/sum 8, L_0106E010, C4<00000110>;
L_0106DBF0 .functor MUXZ 8, L_0106E010, L_0106DB98, L_0106E930, C4<>;
L_0106DC48 .part L_0106DFB8, 4, 1;
L_0106DCA0 .part L_0106DFB8, 0, 4;
L_0106DCF8 .part L_0106DBF0, 4, 4;
L_0106DD50 .concat [ 4 2 0 0], L_0106DCF8, C4<00>;
L_0106DDA8 .cmp/gt 6, L_0106DD50, C4<001001>;
L_0106DE00 .part L_0106DBF0, 4, 4;
L_0106DE58 .concat [ 4 1 0 0], L_0106DE00, C4<0>;
L_0106DEB0 .arith/sum 5, L_0106DE58, C4<00110>;
L_0106DF08 .part L_0106DBF0, 4, 4;
L_0106DF60 .concat [ 4 1 0 0], L_0106DF08, C4<0>;
L_0106DFB8 .functor MUXZ 5, L_0106DF60, L_0106DEB0, L_0106EC40, C4<>;
S_00B18F60 .scope module, "l8" "logic8" 4 255, 4 64, S_00B190F8;
 .timescale -9 -9;
v01065ED0_0 .alias "a_in", 7 0, v010676E8_0;
v01065F28_0 .alias "b_in", 7 0, v010678F8_0;
v01065F80_0 .net "opcode_in", 1 0, L_0106C7A0; 1 drivers
v01065FD8_0 .var "q_out", 7 0;
E_00B4EA40 .event edge, v01065F80_0, v01065848_0, v010657F0_0;
S_00B18FE8 .scope module, "a8" "arith8" 4 256, 4 87, S_00B190F8;
 .timescale -9 -9;
v01065AB0_0 .net *"_s1", 0 0, L_0106C7F8; 1 drivers
v01065B08_0 .net *"_s2", 0 0, C4<0>; 1 drivers
v01065B60_0 .alias "a_in", 7 0, v010676E8_0;
v01065BB8_0 .net "b_in", 7 0, v01067740_0; 1 drivers
v01065C10_0 .net "carry", 0 0, L_0106C850; 1 drivers
v01065C68_0 .alias "carry_in", 0 0, v010679A8_0;
v01065CC0_0 .var "carry_out", 0 0;
v01065D18_0 .alias "half_c_in", 0 0, v01067D18_0;
v01065D70_0 .var "half_c_out", 0 0;
v01065DC8_0 .net "opcode_in", 1 0, L_0106C8A8; 1 drivers
v01065E20_0 .var "overflow_out", 0 0;
v01065E78_0 .var "q_out", 7 0;
E_00B4E8A0/0 .event edge, v01065DC8_0, v010657F0_0, v01065BB8_0, v01065E78_0;
E_00B4E8A0/1 .event edge, v01065D18_0;
E_00B4E8A0 .event/or E_00B4E8A0/0, E_00B4E8A0/1;
E_00B4E8C0 .event edge, v01065DC8_0, v010657F0_0, v01065BB8_0, v01065E78_0;
E_00B4EA80 .event edge, v01065DC8_0, v010657F0_0, v01065BB8_0, v01065C10_0;
L_0106C7F8 .part L_0106C8A8, 1, 1;
L_0106C850 .functor MUXZ 1, C4<0>, L_0106BF60, L_0106C7F8, C4<>;
S_00B19070 .scope module, "s8" "shift8" 4 257, 4 160, S_00B190F8;
 .timescale -9 -9;
v010656E8_0 .net *"_s1", 0 0, L_0106D930; 1 drivers
v01065740_0 .net *"_s3", 0 0, L_0106D988; 1 drivers
v01065798_0 .net *"_s5", 0 0, L_0106D9E0; 1 drivers
v010657F0_0 .alias "a_in", 7 0, v010676E8_0;
v01065848_0 .alias "b_in", 7 0, v010678F8_0;
v010658A0_0 .alias "carry_in", 0 0, v010679A8_0;
v010658F8_0 .alias "carry_out", 0 0, v01067FD8_0;
v01065950_0 .net "opcode_in", 2 0, L_0106DA90; 1 drivers
v010659A8_0 .alias "overflow_in", 0 0, v01068138_0;
v01065A00_0 .var "overflow_out", 0 0;
v01065A58_0 .var "q_out", 7 0;
E_00B4E940 .event edge, v010659A8_0, v01065950_0, v010657F0_0;
E_00B4E8E0 .event edge, v010657F0_0, v01065950_0, v010658A0_0;
L_0106D930 .part L_0106DA90, 0, 1;
L_0106D988 .part L_0106E010, 7, 1;
L_0106D9E0 .part L_0106E010, 0, 1;
L_0106DA38 .functor MUXZ 1, L_0106D9E0, L_0106D988, L_0106D930, C4<>;
S_00B18988 .scope module, "alu16" "alu16" 4 35, 4 345, S_00B18900;
 .timescale -9 -9;
v01064F28_0 .alias "CCR", 7 0, v0106B590_0;
v01064F80_0 .var "CCRo", 3 0;
v01064FD8_0 .net "a_in", 15 0, v010688F8_0; 1 drivers
v01065030_0 .net "arith_c", 0 0, v01064DC8_0; 1 drivers
v01065088_0 .net "arith_q", 15 0, v01064ED0_0; 1 drivers
v010650E0_0 .net "arith_v", 0 0, v01064E78_0; 1 drivers
v01065138_0 .net "b_in", 15 0, v01068950_0; 1 drivers
v01065190_0 .var "c16", 0 0;
v010651E8_0 .net "c_in", 0 0, L_0106E0C0; 1 drivers
v01065240_0 .alias "clk_in", 0 0, v01068ED0_0;
v01065298_0 .var "n16", 0 0;
v010652F0_0 .net "n_in", 0 0, L_0106E118; 1 drivers
v01065348_0 .alias "opcode_in", 4 0, v01067ED0_0;
v010653A0_0 .var "q16", 15 0;
v010653F8_0 .alias "q_mul_in", 15 0, v01068798_0;
v01065450_0 .var "q_out", 15 0;
v010654A8_0 .var "reg_n_in", 0 0;
v01065530_0 .var "reg_z_in", 0 0;
v01065588_0 .var "v16", 0 0;
v01065638_0 .net "v_in", 0 0, L_0106E170; 1 drivers
v010655E0_0 .var "z16", 0 0;
v01065690_0 .net "z_in", 0 0, L_0106E1C8; 1 drivers
E_00AF2038/0 .event edge, v010653A0_0, v01065298_0, v010655E0_0, v01065588_0;
E_00AF2038/1 .event edge, v01065190_0;
E_00AF2038 .event/or E_00AF2038/0, E_00AF2038/1;
E_00B4EAE0 .event edge, v010653A0_0, v01065348_0, v010654A8_0, v01065530_0;
E_00B4ED00/0 .event edge, v01064D70_0, v01065638_0, v01065348_0, v01064ED0_0;
E_00B4ED00/1 .event edge, v01064DC8_0, v01064E78_0, v010653F8_0, v01064D18_0;
E_00B4ED00/2 .event edge, v01064CC0_0;
E_00B4ED00 .event/or E_00B4ED00/0, E_00B4ED00/1, E_00B4ED00/2;
L_0106E0C0 .part L_0106E278, 0, 1;
L_0106E118 .part L_0106E278, 3, 1;
L_0106E170 .part L_0106E278, 1, 1;
L_0106E1C8 .part L_0106E278, 2, 1;
L_0106E220 .part v010689A8_0, 0, 2;
S_00B19180 .scope module, "a16" "arith16" 4 430, 4 131, S_00B18988;
 .timescale -9 -9;
v01064CC0_0 .alias "a_in", 15 0, v01064FD8_0;
v01064D18_0 .alias "b_in", 15 0, v01065138_0;
v01064D70_0 .alias "carry_in", 0 0, v010651E8_0;
v01064DC8_0 .var "carry_out", 0 0;
v01064E20_0 .net "opcode_in", 1 0, L_0106E220; 1 drivers
v01064E78_0 .var "overflow_out", 0 0;
v01064ED0_0 .var "q_out", 15 0;
E_00B4ED20 .event edge, v01064E20_0, v01064CC0_0, v01064D18_0, v01064ED0_0;
E_00B4ECC0 .event edge, v01064E20_0, v01064CC0_0, v01064D18_0, v01064D70_0;
S_00B18B20 .scope module, "regs" "regblock" 3 137, 5 7, S_00B18D40;
 .timescale -9 -9;
L_0106EEA8 .functor BUFZ 16, v01063C10_0, C4<0000000000000000>, C4<0000000000000000>, C4<0000000000000000>;
L_0106F168 .functor BUFZ 16, v01063690_0, C4<0000000000000000>, C4<0000000000000000>, C4<0000000000000000>;
L_0106F210 .functor OR 1, v0106AFB8_0, v0106AEB0_0, C4<0>, C4<0>;
L_0106F408 .functor OR 1, v0106A1E8_0, v0106AF08_0, C4<0>, C4<0>;
v010639A8_0 .var "ACCA", 7 0;
v01063A00_0 .var "ACCB", 7 0;
v01063A58_0 .alias "CCR_in", 7 0, v01068DC8_0;
v01063AB0_0 .alias "CCR_o", 7 0, v0106B590_0;
v01063B08_0 .var "DP", 7 0;
v01063B60_0 .var "IX", 15 0;
v01063BB8_0 .var "IY", 15 0;
v01063C10_0 .var "PC", 15 0;
v01063C68_0 .var "SS", 15 0;
v01063CC0_0 .var "SU", 15 0;
v01063D18_0 .net *"_s10", 15 0, C4<0000000000000001>; 1 drivers
v01063D70_0 .net *"_s14", 0 0, L_0106F210; 1 drivers
v01063DC8_0 .net *"_s20", 0 0, L_0106F408; 1 drivers
v01063E20_0 .net *"_s22", 3 0, C4<0101>; 1 drivers
v01063E78_0 .var "cff", 0 0;
v01063ED0_0 .net "clear_e", 0 0, v01069E20_0; 1 drivers
v01063F28_0 .alias "clk_in", 0 0, v01068ED0_0;
v01063F80_0 .net "data_w", 15 0, v010693A0_0; 1 drivers
v01063FD8_0 .net "dec_su", 0 0, v01069FD8_0; 1 drivers
v01064088_0 .net "ea_reg_post", 15 0, v010635E0_0; 1 drivers
v01064030_0 .net "eamem_addr", 15 0, v01063690_0; 1 drivers
v010640E0_0 .alias "eamem_addr_o", 15 0, v0106B640_0;
v01064138_0 .net "eapostbyte", 7 0, v0106A298_0; 1 drivers
v01064190_0 .var "eflag", 0 0;
v010641E8_0 .net "exg_dest_r", 3 0, L_0106E488; 1 drivers
v01064240_0 .var "fflag", 0 0;
v01064298_0 .var "hflag", 0 0;
v010642F0_0 .net "inc_pc", 0 0, v0106A1E8_0; 1 drivers
v01064348_0 .net "inc_su", 0 0, v0106A240_0; 1 drivers
v010643A0_0 .var "intff", 0 0;
v010643F8_0 .net "left", 15 0, L_0106E380; 1 drivers
v01064450_0 .net "new_pc", 15 0, v0106A930_0; 1 drivers
v010644A8_0 .var "nff", 0 0;
v01064530_0 .net "offset16", 15 0, L_0106E4E0; 1 drivers
v01064588_0 .net "path_left_addr", 3 0, v01069298_0; 1 drivers
v010645E0_0 .var "path_left_data", 15 0;
v01064638_0 .alias "path_right_addr", 3 0, v01069690_0;
v01064690_0 .var "path_right_data", 15 0;
v010646E8_0 .net "pc_plus_1", 15 0, L_0106E328; 1 drivers
v01064740_0 .alias "reg_dp", 7 0, v0106B5E8_0;
v01064798_0 .alias "reg_pc", 15 0, v0106B6F0_0;
v010647F0_0 .alias "reg_su", 15 0, v0106B7A0_0;
v01064848_0 .net "right", 15 0, L_0106E3D8; 1 drivers
v010648A0_0 .net "right_reg", 3 0, L_0106E430; 1 drivers
v010648F8_0 .net "set_e", 0 0, v0106AE00_0; 1 drivers
v01064950_0 .alias "use_s", 0 0, v01069D18_0;
v010649A8_0 .var "vff", 0 0;
v01064A00_0 .net "write_exg", 0 0, v0106AEB0_0; 1 drivers
v01064A58_0 .net "write_flags", 0 0, L_0106F600; 1 drivers
v01064AB0_0 .net "write_pc", 0 0, v0106AF08_0; 1 drivers
v01064B08_0 .net "write_post", 0 0, v0106AF60_0; 1 drivers
v01064B60_0 .net "write_reg", 0 0, v0106AE58_0; 1 drivers
v01064BB8_0 .net "write_reg_addr", 3 0, v010693F8_0; 1 drivers
v01064C10_0 .net "write_tfr", 0 0, v0106AFB8_0; 1 drivers
v01064C68_0 .var "zff", 0 0;
E_00AEF038/0 .event edge, v01063088_0, v010634A8_0, v01063530_0, v01063798_0;
E_00AEF038/1 .event edge, v010637F0_0, v01063950_0, v010638F8_0, v010638A0_0;
E_00AEF038/2 .event edge, v01063B08_0, v01064190_0, v01064240_0, v01064298_0;
E_00AEF038/3 .event edge, v010643A0_0, v010644A8_0, v01064C68_0, v010649A8_0;
E_00AEF038/4 .event edge, v01063E78_0;
E_00AEF038 .event/or E_00AEF038/0, E_00AEF038/1, E_00AEF038/2, E_00AEF038/3, E_00AEF038/4;
E_00AEF058/0 .event edge, v01064588_0, v010634A8_0, v01063530_0, v01063798_0;
E_00AEF058/1 .event edge, v010637F0_0, v01063950_0, v010638F8_0, v010638A0_0;
E_00AEF058/2 .event edge, v01063B08_0, v01064190_0, v01064240_0, v01064298_0;
E_00AEF058/3 .event edge, v010643A0_0, v010644A8_0, v01064C68_0, v010649A8_0;
E_00AEF058/4 .event edge, v01063E78_0;
E_00AEF058 .event/or E_00AEF058/0, E_00AEF058/1, E_00AEF058/2, E_00AEF058/3, E_00AEF058/4;
LS_0106E278_0_0 .concat [ 1 1 1 1], v01063E78_0, v010649A8_0, v01064C68_0, v010644A8_0;
LS_0106E278_0_4 .concat [ 1 1 1 1], v010643A0_0, v01064298_0, v01064240_0, v01064190_0;
L_0106E278 .concat [ 4 4 0 0], LS_0106E278_0_0, LS_0106E278_0_4;
L_0106E2D0 .functor MUXZ 16, v01063CC0_0, v01063C68_0, v01063348_0, C4<>;
L_0106E328 .arith/sum 16, v01063C10_0, C4<0000000000000001>;
L_0106E380 .functor MUXZ 16, v010693A0_0, v010645E0_0, L_0106F210, C4<>;
L_0106E3D8 .functor MUXZ 16, v01064690_0, L_0106E328, v0106A1E8_0, C4<>;
L_0106E430 .functor MUXZ 4, L_0106E488, C4<0101>, L_0106F408, C4<>;
S_00B18A98 .scope module, "ea" "calc_ea" 5 60, 5 191, S_00B18B20;
 .timescale -9 -9;
v010634A8_0 .net "acca", 7 0, v010639A8_0; 1 drivers
v01063530_0 .net "accb", 7 0, v01063A00_0; 1 drivers
v01063588_0 .var "ea_reg", 15 0;
v010635E0_0 .var "ea_reg_post", 15 0;
v01063638_0 .alias "ea_reg_post_o", 15 0, v01064088_0;
v01063690_0 .var "eamem_addr", 15 0;
v010636E8_0 .alias "eamem_addr_o", 15 0, v01064030_0;
v01063740_0 .alias "eapostbyte", 7 0, v01064138_0;
v01063798_0 .net "ix", 15 0, v01063B60_0; 1 drivers
v010637F0_0 .net "iy", 15 0, v01063BB8_0; 1 drivers
v01063848_0 .alias "offset16", 15 0, v01064530_0;
v010638A0_0 .net "pc", 15 0, v01063C10_0; 1 drivers
v010638F8_0 .net "s", 15 0, v01063C68_0; 1 drivers
v01063950_0 .net "u", 15 0, v01063CC0_0; 1 drivers
E_00AF1218/0 .event edge, v01060798_0, v01063588_0, v010635E0_0, v01063530_0;
E_00AF1218/1 .event edge, v010634A8_0, v01063848_0, v010638A0_0;
E_00AF1218 .event/or E_00AF1218/0, E_00AF1218/1;
E_00AF1238 .event edge, v01060798_0, v01063588_0;
E_00AF0878/0 .event edge, v01060798_0, v01063798_0, v010637F0_0, v01063950_0;
E_00AF0878/1 .event edge, v010638F8_0;
E_00AF0878 .event/or E_00AF0878/0, E_00AF0878/1;
S_00B18BA8 .scope module, "decs" "decoders" 3 168, 6 9, S_00B18D40;
 .timescale -9 -9;
L_0106F750 .functor OR 1, L_0106E590, v010633A0_0, C4<0>, C4<0>;
L_0106F868 .functor OR 1, L_0106F750, L_0106E6F0, C4<0>, C4<0>;
L_01070CE8 .functor OR 1, L_01071188, L_01071238, C4<0>, C4<0>;
L_01070F18 .functor BUFZ 2, v01062740_0, C4<00>, C4<00>, C4<00>;
L_01070FC0 .functor BUFZ 2, v01063240_0, C4<00>, C4<00>, C4<00>;
L_01071030 .functor BUFZ 2, v01062690_0, C4<00>, C4<00>, C4<00>;
L_01078168 .functor AND 1, L_01071340, L_01071398, C4<1>, C4<1>;
L_01078210 .functor AND 1, L_01078168, L_010713F0, C4<1>, C4<1>;
L_01078328 .functor BUFZ 5, v01061450_0, C4<00000>, C4<00000>, C4<00000>;
v010607F0_0 .net *"_s0", 3 0, C4<1111>; 1 drivers
v01060848_0 .net *"_s10", 2 0, L_0106E698; 1 drivers
v010608A0_0 .net *"_s13", 0 0, C4<0>; 1 drivers
v010608F8_0 .net *"_s14", 2 0, C4<010>; 1 drivers
v01060950_0 .net *"_s16", 0 0, L_0106E6F0; 1 drivers
v010609A8_0 .net *"_s20", 3 0, C4<1111>; 1 drivers
v01060A00_0 .net *"_s22", 0 0, L_0106E748; 1 drivers
v01060A58_0 .net *"_s24", 3 0, C4<1000>; 1 drivers
v01060AB0_0 .net *"_s26", 0 0, L_0106E7A0; 1 drivers
v01060B08_0 .net *"_s28", 3 0, C4<1000>; 1 drivers
v01060B60_0 .net *"_s30", 0 0, L_0106E7F8; 1 drivers
v01060BB8_0 .net/s *"_s32", 0 0, C4<1>; 1 drivers
v01060C10_0 .net/s *"_s34", 0 0, C4<0>; 1 drivers
v01060C68_0 .net *"_s36", 0 0, L_0106E850; 1 drivers
v01060CC0_0 .net *"_s4", 3 0, C4<1000>; 1 drivers
v01060D18_0 .net *"_s40", 2 0, L_01071130; 1 drivers
v01060D70_0 .net *"_s43", 0 0, C4<0>; 1 drivers
v01060DC8_0 .net *"_s44", 2 0, C4<000>; 1 drivers
v01060E20_0 .net *"_s46", 0 0, L_01071188; 1 drivers
v01060ED0_0 .net *"_s48", 2 0, L_010711E0; 1 drivers
v01060E78_0 .net *"_s51", 0 0, C4<0>; 1 drivers
v01060F28_0 .net *"_s52", 2 0, C4<000>; 1 drivers
v01060F80_0 .net *"_s54", 0 0, L_01071238; 1 drivers
v01060FD8_0 .net *"_s58", 2 0, L_01071290; 1 drivers
v01061030_0 .net *"_s6", 0 0, L_0106E590; 1 drivers
v01061088_0 .net *"_s61", 0 0, C4<0>; 1 drivers
v010610E0_0 .net *"_s62", 2 0, C4<000>; 1 drivers
v01061138_0 .net *"_s72", 4 0, C4<00000>; 1 drivers
v01061190_0 .net *"_s74", 0 0, L_01071340; 1 drivers
v010611E8_0 .net *"_s76", 7 0, C4<00011010>; 1 drivers
v01061240_0 .net *"_s78", 0 0, L_01071398; 1 drivers
v01061298_0 .net *"_s8", 0 0, L_0106F750; 1 drivers
v010612F0_0 .net *"_s80", 0 0, L_01078168; 1 drivers
v01061348_0 .net *"_s82", 7 0, C4<00011100>; 1 drivers
v010613A0_0 .net *"_s84", 0 0, L_010713F0; 1 drivers
v010613F8_0 .alias "alu_opcode", 4 0, v01069740_0;
v01061450_0 .var "aop", 4 0;
v010614A8_0 .alias "clk_in", 0 0, v01068ED0_0;
v01062530_0 .alias "dest_flags_o", 0 0, v01069DC8_0;
v01062588_0 .var "dest_memtype_lo", 1 0;
v010625E0_0 .alias "dest_memtype_o", 1 0, v01069848_0;
v01062638_0 .var "dest_reg_lo", 3 0;
v01062690_0 .var "dm", 1 0;
v010626E8_0 .var "dr", 3 0;
v01062740_0 .var "lm", 1 0;
v01062798_0 .var "lr", 3 0;
v010627F0_0 .var "mo", 2 0;
v01062848_0 .alias "mode", 2 0, v01069C10_0;
v010628A0_0 .var "op_CWAI", 0 0;
v010628F8_0 .var "op_EXG", 0 0;
v01062950_0 .var "op_JMP", 0 0;
v010629A8_0 .var "op_JSR", 0 0;
v01062A00_0 .var "op_LEA", 0 0;
v01062A58_0 .var "op_MUL", 0 0;
v01062AB0_0 .var "op_PULL", 0 0;
v01062B08_0 .var "op_PUSH", 0 0;
v01062B60_0 .var "op_RTI", 0 0;
v01062BB8_0 .var "op_RTS", 0 0;
v01062C10_0 .var "op_SWI", 0 0;
v01062C68_0 .var "op_SYNC", 0 0;
v01062CC0_0 .var "op_TFR", 0 0;
v01062D18_0 .net "opcode", 7 0, v0106AA90_0; 1 drivers
v01062D70_0 .alias "operand_read_o", 0 0, v01069B60_0;
v01062DC8_0 .alias "operand_write_o", 0 0, v01069BB8_0;
v01062E20_0 .var "p2", 0 0;
v01062E78_0 .var "p3", 0 0;
v01062ED0_0 .net "page2_valid", 0 0, v0106AAE8_0; 1 drivers
v01062F28_0 .net "page3_valid", 0 0, v0106AB40_0; 1 drivers
v01062F80_0 .var "path_left_addr_lo", 3 0;
v01062FD8_0 .var "path_left_memtype_lo", 1 0;
v01063030_0 .alias "path_left_memtype_o", 1 0, v01069B08_0;
v01063088_0 .var "path_right_addr_lo", 3 0;
v010630E0_0 .var "path_right_memtype_lo", 1 0;
v01063138_0 .alias "path_right_memtype_o", 1 0, v01069C68_0;
v01063190_0 .net "postbyte0", 7 0, v0106AB98_0; 1 drivers
v010631E8_0 .alias "result_size", 0 0, v01069798_0;
v01063240_0 .var "rm", 1 0;
v01063298_0 .var "rr", 3 0;
v010632F0_0 .alias "source_size", 0 0, v01069CC0_0;
v01063348_0 .var "ss", 0 0;
v010633A0_0 .var "sz", 0 0;
v010633F8_0 .alias "use_s", 0 0, v01069D18_0;
v01063450_0 .alias "write_dest", 0 0, v01069D70_0;
E_00AEEA58 .event posedge, v010614A8_0;
E_00AEEB58 .event edge, v00B12E00_0, v00B12EB0_0, v01062E20_0, v01062E78_0;
L_0106E640 .cmp/ne 4, v010626E8_0, C4<1111>;
L_0106E590 .cmp/gt 4, C4<1000>, v01062798_0;
L_0106E698 .concat [ 2 1 0 0], v01063240_0, C4<0>;
L_0106E6F0 .cmp/eq 3, L_0106E698, C4<010>;
L_0106E748 .cmp/eq 4, v010626E8_0, C4<1111>;
L_0106E7A0 .cmp/gt 4, C4<1000>, v01062798_0;
L_0106E7F8 .cmp/gt 4, C4<1000>, v010626E8_0;
L_0106E850 .functor MUXZ 1, C4<0>, C4<1>, L_0106E7F8, C4<>;
L_0106E8A8 .functor MUXZ 1, L_0106E850, L_0106E7A0, L_0106E748, C4<>;
L_01071130 .concat [ 2 1 0 0], v01062740_0, C4<0>;
L_01071188 .cmp/ne 3, L_01071130, C4<000>;
L_010711E0 .concat [ 2 1 0 0], v01063240_0, C4<0>;
L_01071238 .cmp/ne 3, L_010711E0, C4<000>;
L_01071290 .concat [ 2 1 0 0], v01062690_0, C4<0>;
L_010712E8 .cmp/ne 3, L_01071290, C4<000>;
L_01071340 .cmp/ne 5, v01061450_0, C4<00000>;
L_01071398 .cmp/ne 8, v0106AA90_0, C4<00011010>;
L_010713F0 .cmp/ne 8, v0106AA90_0, C4<00011100>;
S_00B18C30 .scope module, "dec_ea" "decode_ea" 3 207, 6 738, S_00B18D40;
 .timescale -9 -9;
L_00AFEE38 .functor AND 1, L_01071448, L_010714A0, C4<1>, C4<1>;
v00B12F08_0 .net *"_s1", 0 0, L_01071448; 1 drivers
v00B12F60_0 .net *"_s3", 0 0, L_010714A0; 1 drivers
v01060530_0 .alias "ea_is_indirect_o", 0 0, v010698A0_0;
v01060588_0 .var "ea_ofs16_o", 0 0;
v010605E0_0 .var "ea_ofs5_o", 0 0;
v01060638_0 .var "ea_ofs8_o", 0 0;
v01060690_0 .var "ea_write_back_o", 0 0;
v010606E8_0 .var "eabase_o", 3 0;
v01060740_0 .var "eaindex_o", 3 0;
v01060798_0 .alias "eapostbyte", 7 0, v01064138_0;
E_00AEEEF8 .event edge, v01060798_0;
L_01071448 .part v0106A298_0, 7, 1;
L_010714A0 .part v0106A298_0, 4, 1;
S_00B18CB8 .scope module, "test_cond" "test_condition" 3 220, 6 802, S_00B18D40;
 .timescale -9 -9;
v00B12CF8_0 .alias "CCR", 7 0, v0106B590_0;
v00B12D50_0 .var "cond_taken", 0 0;
v00B12DA8_0 .net "op", 7 0, L_010714F8; 1 drivers
v00B12E00_0 .alias "opcode", 7 0, v01062D18_0;
v00B12E58_0 .alias "page2_valid", 0 0, v01062ED0_0;
v00B12EB0_0 .alias "postbyte0", 7 0, v01063190_0;
E_00AEEED8 .event edge, v00B12E00_0, v00B12DA8_0, v00B12CF8_0;
L_010714F8 .functor MUXZ 8, v0106AA90_0, v0106AB98_0, v0106AAE8_0, C4<>;
S_00B18DC8 .scope module, "imem" "memory" 2 33, 2 62, S_00B19318;
 .timescale -9 -9;
v00B12930_0 .alias "addr", 15 0, v0106B850_0;
v00B12988_0 .alias "data_i", 7 0, v0106B9E0_0;
v00B129E0_0 .alias "data_o", 7 0, v0106B988_0;
v00B12A38_0 .var "latecheddata", 7 0;
v00B12A90 .array "mem", 0 65535, 7 0;
v00B12A90_0 .array/port v00B12A90, 0;
v00B12AE8_0 .net "mem0", 7 0, v00B12A90_0; 1 drivers
v00B12A90_1 .array/port v00B12A90, 1;
v00B12B40_0 .net "mem1", 7 0, v00B12A90_1; 1 drivers
v00B12A90_2 .array/port v00B12A90, 2;
v00B12B98_0 .net "mem2", 7 0, v00B12A90_2; 1 drivers
v00B12A90_3 .array/port v00B12A90, 3;
v00B12BF0_0 .net "mem3", 7 0, v00B12A90_3; 1 drivers
v00B12C48_0 .net "oe", 0 0, L_010715A8; 1 drivers
v00B12CA0_0 .net "we", 0 0, L_01071600; 1 drivers
E_00AF1C58 .event negedge, v00B12C48_0;
E_00AF1738 .event negedge, v00B12CA0_0;
    .scope S_00B18ED8;
T_0 ;
    %wait E_00AEEA58;
    %load/v 8, v01068298_0, 1; Only need 1 of 8 bits
; Save base=8 wid=1 in lookaside.
    %jmp/0  T_0.0, 8;
    %load/v 9, v010682F0_0, 8;
    %mov 17, 0, 8;
    %jmp/1  T_0.2, 8;
T_0.0 ; End of true expr.
    %jmp/0  T_0.1, 8;
 ; End of false expr.
    %blend  9, 0, 16; Condition unknown.
    %jmp  T_0.2;
T_0.1 ;
    %mov 9, 0, 16; Return false value
T_0.2 ;
    %ix/load 1, 1, 0;
    %mov 4, 0, 1;
    %jmp/1 T_0.6, 4;
    %load/x1p 8, v01068298_0, 1;
    %jmp T_0.7;
T_0.6 ;
    %mov 8, 2, 1;
T_0.7 ;
; Save base=8 wid=1 in lookaside.
    %jmp/0  T_0.3, 8;
    %mov 25, 0, 1;
    %load/v 26, v010682F0_0, 8;
    %mov 34, 0, 7;
    %jmp/1  T_0.5, 8;
T_0.3 ; End of true expr.
    %jmp/0  T_0.4, 8;
 ; End of false expr.
    %blend  25, 0, 16; Condition unknown.
    %jmp  T_0.5;
T_0.4 ;
    %mov 25, 0, 16; Return false value
T_0.5 ;
    %add 9, 25, 16;
    %ix/load 1, 2, 0;
    %mov 4, 0, 1;
    %jmp/1 T_0.11, 4;
    %load/x1p 8, v01068298_0, 1;
    %jmp T_0.12;
T_0.11 ;
    %mov 8, 2, 1;
T_0.12 ;
; Save base=8 wid=1 in lookaside.
    %jmp/0  T_0.8, 8;
    %mov 25, 0, 2;
    %load/v 27, v010682F0_0, 8;
    %mov 35, 0, 6;
    %jmp/1  T_0.10, 8;
T_0.8 ; End of true expr.
    %jmp/0  T_0.9, 8;
 ; End of false expr.
    %blend  25, 0, 16; Condition unknown.
    %jmp  T_0.10;
T_0.9 ;
    %mov 25, 0, 16; Return false value
T_0.10 ;
    %add 9, 25, 16;
    %ix/load 1, 3, 0;
    %mov 4, 0, 1;
    %jmp/1 T_0.16, 4;
    %load/x1p 8, v01068298_0, 1;
    %jmp T_0.17;
T_0.16 ;
    %mov 8, 2, 1;
T_0.17 ;
; Save base=8 wid=1 in lookaside.
    %jmp/0  T_0.13, 8;
    %mov 25, 0, 3;
    %load/v 28, v010682F0_0, 8;
    %mov 36, 0, 5;
    %jmp/1  T_0.15, 8;
T_0.13 ; End of true expr.
    %jmp/0  T_0.14, 8;
 ; End of false expr.
    %blend  25, 0, 16; Condition unknown.
    %jmp  T_0.15;
T_0.14 ;
    %mov 25, 0, 16; Return false value
T_0.15 ;
    %add 9, 25, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v010683A0_0, 0, 9;
    %ix/load 1, 4, 0;
    %mov 4, 0, 1;
    %jmp/1 T_0.21, 4;
    %load/x1p 8, v01068298_0, 1;
    %jmp T_0.22;
T_0.21 ;
    %mov 8, 2, 1;
T_0.22 ;
; Save base=8 wid=1 in lookaside.
    %jmp/0  T_0.18, 8;
    %mov 9, 0, 4;
    %load/v 13, v010682F0_0, 8;
    %mov 21, 0, 4;
    %jmp/1  T_0.20, 8;
T_0.18 ; End of true expr.
    %jmp/0  T_0.19, 8;
 ; End of false expr.
    %blend  9, 0, 16; Condition unknown.
    %jmp  T_0.20;
T_0.19 ;
    %mov 9, 0, 16; Return false value
T_0.20 ;
    %ix/load 1, 5, 0;
    %mov 4, 0, 1;
    %jmp/1 T_0.26, 4;
    %load/x1p 8, v01068298_0, 1;
    %jmp T_0.27;
T_0.26 ;
    %mov 8, 2, 1;
T_0.27 ;
; Save base=8 wid=1 in lookaside.
    %jmp/0  T_0.23, 8;
    %mov 25, 0, 5;
    %load/v 30, v010682F0_0, 8;
    %mov 38, 0, 3;
    %jmp/1  T_0.25, 8;
T_0.23 ; End of true expr.
    %jmp/0  T_0.24, 8;
 ; End of false expr.
    %blend  25, 0, 16; Condition unknown.
    %jmp  T_0.25;
T_0.24 ;
    %mov 25, 0, 16; Return false value
T_0.25 ;
    %add 9, 25, 16;
    %ix/load 1, 6, 0;
    %mov 4, 0, 1;
    %jmp/1 T_0.31, 4;
    %load/x1p 8, v01068298_0, 1;
    %jmp T_0.32;
T_0.31 ;
    %mov 8, 2, 1;
T_0.32 ;
; Save base=8 wid=1 in lookaside.
    %jmp/0  T_0.28, 8;
    %mov 25, 0, 6;
    %load/v 31, v010682F0_0, 8;
    %mov 39, 0, 2;
    %jmp/1  T_0.30, 8;
T_0.28 ; End of true expr.
    %jmp/0  T_0.29, 8;
 ; End of false expr.
    %blend  25, 0, 16; Condition unknown.
    %jmp  T_0.30;
T_0.29 ;
    %mov 25, 0, 16; Return false value
T_0.30 ;
    %add 9, 25, 16;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %jmp/1 T_0.36, 4;
    %load/x1p 8, v01068298_0, 1;
    %jmp T_0.37;
T_0.36 ;
    %mov 8, 2, 1;
T_0.37 ;
; Save base=8 wid=1 in lookaside.
    %jmp/0  T_0.33, 8;
    %mov 25, 0, 7;
    %load/v 32, v010682F0_0, 8;
    %mov 40, 0, 1;
    %jmp/1  T_0.35, 8;
T_0.33 ; End of true expr.
    %jmp/0  T_0.34, 8;
 ; End of false expr.
    %blend  25, 0, 16; Condition unknown.
    %jmp  T_0.35;
T_0.34 ;
    %mov 25, 0, 16; Return false value
T_0.35 ;
    %add 9, 25, 16;
    %load/v 25, v010683A0_0, 16;
    %add 9, 25, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v010683F8_0, 0, 9;
    %jmp T_0;
    .thread T_0;
    .scope S_00B18F60;
T_1 ;
    %wait E_00B4EA40;
    %load/v 8, v01065F80_0, 2;
    %cmpi/u 8, 0, 2;
    %jmp/1 T_1.0, 6;
    %cmpi/u 8, 1, 2;
    %jmp/1 T_1.1, 6;
    %cmpi/u 8, 2, 2;
    %jmp/1 T_1.2, 6;
    %cmpi/u 8, 3, 2;
    %jmp/1 T_1.3, 6;
    %jmp T_1.4;
T_1.0 ;
    %load/v 8, v01065F28_0, 8;
    %set/v v01065FD8_0, 8, 8;
    %jmp T_1.4;
T_1.1 ;
    %load/v 8, v01065ED0_0, 8;
    %load/v 16, v01065F28_0, 8;
    %and 8, 16, 8;
    %set/v v01065FD8_0, 8, 8;
    %jmp T_1.4;
T_1.2 ;
    %load/v 8, v01065ED0_0, 8;
    %load/v 16, v01065F28_0, 8;
    %or 8, 16, 8;
    %set/v v01065FD8_0, 8, 8;
    %jmp T_1.4;
T_1.3 ;
    %load/v 8, v01065ED0_0, 8;
    %load/v 16, v01065F28_0, 8;
    %xor 8, 16, 8;
    %set/v v01065FD8_0, 8, 8;
    %jmp T_1.4;
T_1.4 ;
    %jmp T_1;
    .thread T_1, $push;
    .scope S_00B18FE8;
T_2 ;
    %wait E_00B4EA80;
    %load/v 8, v01065DC8_0, 1; Only need 1 of 2 bits
; Save base=8 wid=1 in lookaside.
    %cmpi/u 8, 0, 1;
    %jmp/1 T_2.0, 6;
    %cmpi/u 8, 1, 1;
    %jmp/1 T_2.1, 6;
    %jmp T_2.2;
T_2.0 ;
    %load/v 8, v01065B60_0, 8;
    %mov 16, 0, 1;
    %load/v 17, v01065BB8_0, 8;
    %mov 25, 0, 1;
    %add 8, 17, 9;
    %load/v 17, v01065C10_0, 1;
    %mov 18, 0, 8;
    %add 8, 17, 9;
    %set/v v01065E78_0, 8, 8;
    %set/v v01065CC0_0, 16, 1;
    %jmp T_2.2;
T_2.1 ;
    %load/v 8, v01065B60_0, 8;
    %mov 16, 0, 1;
    %load/v 17, v01065BB8_0, 8;
    %mov 25, 0, 1;
    %sub 8, 17, 9;
    %load/v 17, v01065C10_0, 1;
    %mov 18, 0, 8;
    %sub 8, 17, 9;
    %set/v v01065E78_0, 8, 8;
    %set/v v01065CC0_0, 16, 1;
    %jmp T_2.2;
T_2.2 ;
    %jmp T_2;
    .thread T_2, $push;
    .scope S_00B18FE8;
T_3 ;
    %wait E_00B4E8C0;
    %load/v 8, v01065DC8_0, 1; Only need 1 of 2 bits
; Save base=8 wid=1 in lookaside.
    %cmpi/u 8, 0, 1;
    %jmp/1 T_3.0, 6;
    %cmpi/u 8, 1, 1;
    %jmp/1 T_3.1, 6;
    %jmp T_3.2;
T_3.0 ;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %jmp/1 T_3.3, 4;
    %load/x1p 8, v01065B60_0, 1;
    %jmp T_3.4;
T_3.3 ;
    %mov 8, 2, 1;
T_3.4 ;
; Save base=8 wid=1 in lookaside.
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %jmp/1 T_3.5, 4;
    %load/x1p 9, v01065BB8_0, 1;
    %jmp T_3.6;
T_3.5 ;
    %mov 9, 2, 1;
T_3.6 ;
; Save base=9 wid=1 in lookaside.
    %and 8, 9, 1;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %jmp/1 T_3.7, 4;
    %load/x1p 9, v01065E78_0, 1;
    %jmp T_3.8;
T_3.7 ;
    %mov 9, 2, 1;
T_3.8 ;
; Save base=9 wid=1 in lookaside.
    %inv 9, 1;
    %and 8, 9, 1;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %jmp/1 T_3.9, 4;
    %load/x1p 9, v01065B60_0, 1;
    %jmp T_3.10;
T_3.9 ;
    %mov 9, 2, 1;
T_3.10 ;
; Save base=9 wid=1 in lookaside.
    %inv 9, 1;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %jmp/1 T_3.11, 4;
    %load/x1p 10, v01065BB8_0, 1;
    %jmp T_3.12;
T_3.11 ;
    %mov 10, 2, 1;
T_3.12 ;
; Save base=10 wid=1 in lookaside.
    %inv 10, 1;
    %and 9, 10, 1;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %jmp/1 T_3.13, 4;
    %load/x1p 10, v01065E78_0, 1;
    %jmp T_3.14;
T_3.13 ;
    %mov 10, 2, 1;
T_3.14 ;
; Save base=10 wid=1 in lookaside.
    %and 9, 10, 1;
    %or 8, 9, 1;
    %set/v v01065E20_0, 8, 1;
    %jmp T_3.2;
T_3.1 ;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %jmp/1 T_3.15, 4;
    %load/x1p 8, v01065B60_0, 1;
    %jmp T_3.16;
T_3.15 ;
    %mov 8, 2, 1;
T_3.16 ;
; Save base=8 wid=1 in lookaside.
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %jmp/1 T_3.17, 4;
    %load/x1p 9, v01065BB8_0, 1;
    %jmp T_3.18;
T_3.17 ;
    %mov 9, 2, 1;
T_3.18 ;
; Save base=9 wid=1 in lookaside.
    %inv 9, 1;
    %and 8, 9, 1;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %jmp/1 T_3.19, 4;
    %load/x1p 9, v01065E78_0, 1;
    %jmp T_3.20;
T_3.19 ;
    %mov 9, 2, 1;
T_3.20 ;
; Save base=9 wid=1 in lookaside.
    %inv 9, 1;
    %and 8, 9, 1;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %jmp/1 T_3.21, 4;
    %load/x1p 9, v01065B60_0, 1;
    %jmp T_3.22;
T_3.21 ;
    %mov 9, 2, 1;
T_3.22 ;
; Save base=9 wid=1 in lookaside.
    %inv 9, 1;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %jmp/1 T_3.23, 4;
    %load/x1p 10, v01065BB8_0, 1;
    %jmp T_3.24;
T_3.23 ;
    %mov 10, 2, 1;
T_3.24 ;
; Save base=10 wid=1 in lookaside.
    %and 9, 10, 1;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %jmp/1 T_3.25, 4;
    %load/x1p 10, v01065E78_0, 1;
    %jmp T_3.26;
T_3.25 ;
    %mov 10, 2, 1;
T_3.26 ;
; Save base=10 wid=1 in lookaside.
    %and 9, 10, 1;
    %or 8, 9, 1;
    %set/v v01065E20_0, 8, 1;
    %jmp T_3.2;
T_3.2 ;
    %jmp T_3;
    .thread T_3, $push;
    .scope S_00B18FE8;
T_4 ;
    %wait E_00B4E8A0;
    %load/v 8, v01065DC8_0, 1; Only need 1 of 2 bits
; Save base=8 wid=1 in lookaside.
    %cmpi/u 8, 0, 1;
    %jmp/1 T_4.0, 6;
    %cmpi/u 8, 1, 1;
    %jmp/1 T_4.1, 6;
    %jmp T_4.2;
T_4.0 ;
    %ix/load 1, 4, 0;
    %mov 4, 0, 1;
    %jmp/1 T_4.3, 4;
    %load/x1p 8, v01065B60_0, 1;
    %jmp T_4.4;
T_4.3 ;
    %mov 8, 2, 1;
T_4.4 ;
; Save base=8 wid=1 in lookaside.
    %ix/load 1, 4, 0;
    %mov 4, 0, 1;
    %jmp/1 T_4.5, 4;
    %load/x1p 9, v01065BB8_0, 1;
    %jmp T_4.6;
T_4.5 ;
    %mov 9, 2, 1;
T_4.6 ;
; Save base=9 wid=1 in lookaside.
    %xor 8, 9, 1;
    %ix/load 1, 4, 0;
    %mov 4, 0, 1;
    %jmp/1 T_4.7, 4;
    %load/x1p 9, v01065E78_0, 1;
    %jmp T_4.8;
T_4.7 ;
    %mov 9, 2, 1;
T_4.8 ;
; Save base=9 wid=1 in lookaside.
    %xor 8, 9, 1;
    %set/v v01065D70_0, 8, 1;
    %jmp T_4.2;
T_4.1 ;
    %load/v 8, v01065D18_0, 1;
    %set/v v01065D70_0, 8, 1;
    %jmp T_4.2;
T_4.2 ;
    %jmp T_4;
    .thread T_4, $push;
    .scope S_00B19070;
T_5 ;
    %wait E_00B4E8E0;
    %ix/load 1, 1, 0;
    %mov 4, 0, 1;
    %jmp/1 T_5.0, 4;
    %load/x1p 16, v010657F0_0, 7;
    %jmp T_5.1;
T_5.0 ;
    %mov 16, 2, 7;
T_5.1 ;
    %mov 8, 16, 7; Move signal select into place
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %jmp/1 T_5.2, 4;
    %load/x1p 16, v010657F0_0, 1;
    %jmp T_5.3;
T_5.2 ;
    %mov 16, 2, 1;
T_5.3 ;
    %mov 15, 16, 1; Move signal select into place
    %set/v v01065A58_0, 8, 8;
    %load/v 8, v01065950_0, 3;
    %cmpi/u 8, 0, 3;
    %jmp/1 T_5.4, 6;
    %cmpi/u 8, 1, 3;
    %jmp/1 T_5.5, 6;
    %cmpi/u 8, 2, 3;
    %jmp/1 T_5.6, 6;
    %cmpi/u 8, 3, 3;
    %jmp/1 T_5.7, 6;
    %cmpi/u 8, 4, 3;
    %jmp/1 T_5.8, 6;
    %jmp T_5.9;
T_5.4 ;
    %ix/load 1, 1, 0;
    %mov 4, 0, 1;
    %jmp/1 T_5.10, 4;
    %load/x1p 16, v010657F0_0, 7;
    %jmp T_5.11;
T_5.10 ;
    %mov 16, 2, 7;
T_5.11 ;
    %mov 8, 16, 7; Move signal select into place
    %mov 15, 0, 1;
    %set/v v01065A58_0, 8, 8;
    %jmp T_5.9;
T_5.5 ;
    %mov 8, 0, 1;
    %load/v 9, v010657F0_0, 7; Select 7 out of 8 bits
    %set/v v01065A58_0, 8, 8;
    %jmp T_5.9;
T_5.6 ;
    %ix/load 1, 1, 0;
    %mov 4, 0, 1;
    %jmp/1 T_5.12, 4;
    %load/x1p 16, v010657F0_0, 7;
    %jmp T_5.13;
T_5.12 ;
    %mov 16, 2, 7;
T_5.13 ;
    %mov 8, 16, 7; Move signal select into place
    %load/v 15, v010658A0_0, 1;
    %set/v v01065A58_0, 8, 8;
    %jmp T_5.9;
T_5.7 ;
    %load/v 8, v010658A0_0, 1;
    %load/v 9, v010657F0_0, 7; Select 7 out of 8 bits
    %set/v v01065A58_0, 8, 8;
    %jmp T_5.9;
T_5.8 ;
    %ix/load 1, 1, 0;
    %mov 4, 0, 1;
    %jmp/1 T_5.14, 4;
    %load/x1p 16, v010657F0_0, 7;
    %jmp T_5.15;
T_5.14 ;
    %mov 16, 2, 7;
T_5.15 ;
    %mov 8, 16, 7; Move signal select into place
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %jmp/1 T_5.16, 4;
    %load/x1p 16, v010657F0_0, 1;
    %jmp T_5.17;
T_5.16 ;
    %mov 16, 2, 1;
T_5.17 ;
    %mov 15, 16, 1; Move signal select into place
    %set/v v01065A58_0, 8, 8;
    %jmp T_5.9;
T_5.9 ;
    %jmp T_5;
    .thread T_5, $push;
    .scope S_00B19070;
T_6 ;
    %wait E_00B4E940;
    %load/v 8, v010659A8_0, 1;
    %set/v v01065A00_0, 8, 1;
    %load/v 8, v01065950_0, 3;
    %cmpi/u 8, 0, 3;
    %jmp/1 T_6.0, 6;
    %cmpi/u 8, 1, 3;
    %jmp/1 T_6.1, 6;
    %cmpi/u 8, 2, 3;
    %jmp/1 T_6.2, 6;
    %cmpi/u 8, 3, 3;
    %jmp/1 T_6.3, 6;
    %cmpi/u 8, 4, 3;
    %jmp/1 T_6.4, 6;
    %jmp T_6.5;
T_6.0 ;
    %load/v 8, v010659A8_0, 1;
    %set/v v01065A00_0, 8, 1;
    %jmp T_6.5;
T_6.1 ;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %jmp/1 T_6.6, 4;
    %load/x1p 8, v010657F0_0, 1;
    %jmp T_6.7;
T_6.6 ;
    %mov 8, 2, 1;
T_6.7 ;
; Save base=8 wid=1 in lookaside.
    %ix/load 1, 6, 0;
    %mov 4, 0, 1;
    %jmp/1 T_6.8, 4;
    %load/x1p 9, v010657F0_0, 1;
    %jmp T_6.9;
T_6.8 ;
    %mov 9, 2, 1;
T_6.9 ;
; Save base=9 wid=1 in lookaside.
    %xor 8, 9, 1;
    %set/v v01065A00_0, 8, 1;
    %jmp T_6.5;
T_6.2 ;
    %load/v 8, v010659A8_0, 1;
    %set/v v01065A00_0, 8, 1;
    %jmp T_6.5;
T_6.3 ;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %jmp/1 T_6.10, 4;
    %load/x1p 8, v010657F0_0, 1;
    %jmp T_6.11;
T_6.10 ;
    %mov 8, 2, 1;
T_6.11 ;
; Save base=8 wid=1 in lookaside.
    %ix/load 1, 6, 0;
    %mov 4, 0, 1;
    %jmp/1 T_6.12, 4;
    %load/x1p 9, v010657F0_0, 1;
    %jmp T_6.13;
T_6.12 ;
    %mov 9, 2, 1;
T_6.13 ;
; Save base=9 wid=1 in lookaside.
    %xor 8, 9, 1;
    %set/v v01065A00_0, 8, 1;
    %jmp T_6.5;
T_6.4 ;
    %load/v 8, v010659A8_0, 1;
    %set/v v01065A00_0, 8, 1;
    %jmp T_6.5;
T_6.5 ;
    %jmp T_6;
    .thread T_6, $push;
    .scope S_00B190F8;
T_7 ;
    %wait E_00B4E920;
    %load/v 8, v010678F8_0, 8;
    %set/v v01067740_0, 8, 8;
    %load/v 8, v01067ED0_0, 5;
    %cmpi/u 8, 24, 5;
    %jmp/1 T_7.0, 6;
    %cmpi/u 8, 25, 5;
    %jmp/1 T_7.1, 6;
    %cmpi/u 8, 29, 5;
    %jmp/1 T_7.2, 6;
    %jmp T_7.3;
T_7.0 ;
    %movi 8, 1, 8;
    %set/v v01067740_0, 8, 8;
    %jmp T_7.3;
T_7.1 ;
    %movi 8, 1, 8;
    %set/v v01067740_0, 8, 8;
    %jmp T_7.3;
T_7.2 ;
    %set/v v01067740_0, 0, 8;
    %jmp T_7.3;
T_7.3 ;
    %jmp T_7;
    .thread T_7, $push;
    .scope S_00B190F8;
T_8 ;
    %wait E_00B4E980;
    %set/v v01067F28_0, 0, 8;
    %load/v 8, v010679A8_0, 1;
    %set/v v01067950_0, 8, 1;
    %load/v 8, v01067D18_0, 1;
    %set/v v01067CC0_0, 8, 1;
    %load/v 8, v01068138_0, 1;
    %set/v v010680E0_0, 8, 1;
    %load/v 8, v01067ED0_0, 5;
    %cmpi/u 8, 1, 5;
    %jmp/1 T_8.0, 6;
    %cmpi/u 8, 8, 5;
    %jmp/1 T_8.1, 6;
    %cmpi/u 8, 10, 5;
    %jmp/1 T_8.2, 6;
    %cmpi/u 8, 9, 5;
    %jmp/1 T_8.3, 6;
    %cmpi/u 8, 11, 5;
    %jmp/1 T_8.4, 6;
    %cmpi/u 8, 25, 5;
    %jmp/1 T_8.5, 6;
    %cmpi/u 8, 24, 5;
    %jmp/1 T_8.6, 6;
    %cmpi/u 8, 22, 5;
    %jmp/1 T_8.7, 6;
    %cmpi/u 8, 21, 5;
    %jmp/1 T_8.8, 6;
    %cmpi/u 8, 16, 5;
    %jmp/1 T_8.9, 6;
    %cmpi/u 8, 17, 5;
    %jmp/1 T_8.10, 6;
    %cmpi/u 8, 19, 5;
    %jmp/1 T_8.11, 6;
    %cmpi/u 8, 18, 5;
    %jmp/1 T_8.12, 6;
    %cmpi/u 8, 20, 5;
    %jmp/1 T_8.13, 6;
    %cmpi/u 8, 5, 5;
    %jmp/1 T_8.14, 6;
    %cmpi/u 8, 6, 5;
    %jmp/1 T_8.15, 6;
    %cmpi/u 8, 7, 5;
    %jmp/1 T_8.16, 6;
    %cmpi/u 8, 4, 5;
    %jmp/1 T_8.17, 6;
    %cmpi/u 8, 30, 5;
    %jmp/1 T_8.18, 6;
    %cmpi/u 8, 26, 5;
    %jmp/1 T_8.19, 6;
    %cmpi/u 8, 2, 5;
    %jmp/1 T_8.20, 6;
    %jmp T_8.21;
T_8.0 ;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %jmp/1 T_8.25, 4;
    %load/x1p 8, v010676E8_0, 1;
    %jmp T_8.26;
T_8.25 ;
    %mov 8, 2, 1;
T_8.26 ;
; Save base=8 wid=1 in lookaside.
    %jmp/0  T_8.22, 8;
    %mov 9, 1, 8;
    %jmp/1  T_8.24, 8;
T_8.22 ; End of true expr.
    %jmp/0  T_8.23, 8;
 ; End of false expr.
    %blend  9, 0, 8; Condition unknown.
    %jmp  T_8.24;
T_8.23 ;
    %mov 9, 0, 8; Return false value
T_8.24 ;
    %set/v v01067F28_0, 9, 8;
    %jmp T_8.21;
T_8.1 ;
    %load/v 8, v01067848_0, 8;
    %set/v v01067F28_0, 8, 8;
    %load/v 8, v01067798_0, 1;
    %set/v v01067950_0, 8, 1;
    %load/v 8, v010678A0_0, 1;
    %set/v v010680E0_0, 8, 1;
    %load/v 8, v010677F0_0, 1;
    %set/v v01067CC0_0, 8, 1;
    %jmp T_8.21;
T_8.2 ;
    %load/v 8, v01067848_0, 8;
    %set/v v01067F28_0, 8, 8;
    %load/v 8, v01067798_0, 1;
    %set/v v01067950_0, 8, 1;
    %load/v 8, v010678A0_0, 1;
    %set/v v010680E0_0, 8, 1;
    %load/v 8, v010677F0_0, 1;
    %set/v v01067CC0_0, 8, 1;
    %jmp T_8.21;
T_8.3 ;
    %load/v 8, v01067848_0, 8;
    %set/v v01067F28_0, 8, 8;
    %load/v 8, v01067798_0, 1;
    %set/v v01067950_0, 8, 1;
    %load/v 8, v010678A0_0, 1;
    %set/v v010680E0_0, 8, 1;
    %load/v 8, v010677F0_0, 1;
    %set/v v01067CC0_0, 8, 1;
    %jmp T_8.21;
T_8.4 ;
    %load/v 8, v01067848_0, 8;
    %set/v v01067F28_0, 8, 8;
    %load/v 8, v01067798_0, 1;
    %set/v v01067950_0, 8, 1;
    %load/v 8, v010678A0_0, 1;
    %set/v v010680E0_0, 8, 1;
    %load/v 8, v010677F0_0, 1;
    %set/v v01067CC0_0, 8, 1;
    %jmp T_8.21;
T_8.5 ;
    %load/v 8, v01067848_0, 8;
    %set/v v01067F28_0, 8, 8;
    %load/v 8, v010678A0_0, 1;
    %set/v v010680E0_0, 8, 1;
    %jmp T_8.21;
T_8.6 ;
    %load/v 8, v01067848_0, 8;
    %set/v v01067F28_0, 8, 8;
    %load/v 8, v010678A0_0, 1;
    %set/v v010680E0_0, 8, 1;
    %jmp T_8.21;
T_8.7 ;
    %load/v 8, v01067B60_0, 8;
    %set/v v01067F28_0, 8, 8;
    %load/v 8, v01067B60_0, 8;
    %set/v v01067950_0, 8, 1;
    %load/v 8, v01068190_0, 1;
    %set/v v010680E0_0, 8, 1;
    %jmp T_8.21;
T_8.8 ;
    %load/v 8, v01067E20_0, 8;
    %set/v v01067F28_0, 8, 8;
    %load/v 8, v01067B08_0, 1;
    %set/v v01067950_0, 8, 1;
    %load/v 8, v010681E8_0, 1;
    %set/v v010680E0_0, 8, 1;
    %jmp T_8.21;
T_8.9 ;
    %load/v 8, v01068030_0, 8;
    %set/v v01067F28_0, 8, 8;
    %load/v 8, v01067FD8_0, 1;
    %set/v v01067950_0, 8, 1;
    %load/v 8, v01068088_0, 1;
    %set/v v010680E0_0, 8, 1;
    %jmp T_8.21;
T_8.10 ;
    %load/v 8, v01068030_0, 8;
    %set/v v01067F28_0, 8, 8;
    %load/v 8, v01067FD8_0, 1;
    %set/v v01067950_0, 8, 1;
    %load/v 8, v01068088_0, 1;
    %set/v v010680E0_0, 8, 1;
    %jmp T_8.21;
T_8.11 ;
    %load/v 8, v01068030_0, 8;
    %set/v v01067F28_0, 8, 8;
    %load/v 8, v01067FD8_0, 1;
    %set/v v01067950_0, 8, 1;
    %load/v 8, v01068088_0, 1;
    %set/v v010680E0_0, 8, 1;
    %jmp T_8.21;
T_8.12 ;
    %load/v 8, v01068030_0, 8;
    %set/v v01067F28_0, 8, 8;
    %load/v 8, v01067FD8_0, 1;
    %set/v v01067950_0, 8, 1;
    %load/v 8, v01068088_0, 1;
    %set/v v010680E0_0, 8, 1;
    %jmp T_8.21;
T_8.13 ;
    %load/v 8, v01068030_0, 8;
    %set/v v01067F28_0, 8, 8;
    %load/v 8, v01067FD8_0, 1;
    %set/v v01067950_0, 8, 1;
    %load/v 8, v01068088_0, 1;
    %set/v v010680E0_0, 8, 1;
    %jmp T_8.21;
T_8.14 ;
    %load/v 8, v01067D70_0, 8;
    %set/v v01067F28_0, 8, 8;
    %set/v v010680E0_0, 0, 1;
    %jmp T_8.21;
T_8.15 ;
    %load/v 8, v01067D70_0, 8;
    %set/v v01067F28_0, 8, 8;
    %set/v v010680E0_0, 0, 1;
    %jmp T_8.21;
T_8.16 ;
    %load/v 8, v01067D70_0, 8;
    %set/v v01067F28_0, 8, 8;
    %set/v v010680E0_0, 0, 1;
    %jmp T_8.21;
T_8.17 ;
    %load/v 8, v01067D70_0, 8;
    %set/v v01067F28_0, 8, 8;
    %set/v v010680E0_0, 0, 1;
    %jmp T_8.21;
T_8.18 ;
    %load/v 8, v010676E8_0, 8;
    %set/v v01067F28_0, 8, 8;
    %set/v v010680E0_0, 0, 1;
    %jmp T_8.21;
T_8.19 ;
    %load/v 8, v01067C68_0, 4; Select 4 out of 8 bits
    %load/v 12, v01067C10_0, 4;
    %set/v v01067F28_0, 8, 8;
    %load/v 8, v01067A58_0, 1;
    %set/v v01067950_0, 8, 1;
    %jmp T_8.21;
T_8.20 ;
    %load/v 8, v010676E8_0, 8;
    %set/v v01067F28_0, 8, 8;
    %jmp T_8.21;
T_8.21 ;
    %jmp T_8;
    .thread T_8, $push;
    .scope S_00B190F8;
T_9 ;
    %wait E_00AF1F78;
    %load/v 8, v01067F28_0, 8;
    %set/v v01067F80_0, 8, 8;
    %load/v 8, v01067950_0, 1;
    %load/v 9, v010680E0_0, 1;
    %load/v 16, v01067F28_0, 8;
    %cmpi/u 16, 0, 8;
    %mov 16, 4, 1;
    %mov 10, 16, 1;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %jmp/1 T_9.0, 4;
    %load/x1p 16, v01067F28_0, 1;
    %jmp T_9.1;
T_9.0 ;
    %mov 16, 2, 1;
T_9.1 ;
    %mov 11, 16, 1; Move signal select into place
    %ix/load 1, 4, 0;
    %mov 4, 0, 1;
    %jmp/1 T_9.2, 4;
    %load/x1p 16, v01066030_0, 1;
    %jmp T_9.3;
T_9.2 ;
    %mov 16, 2, 1;
T_9.3 ;
    %mov 12, 16, 1; Move signal select into place
    %load/v 13, v01067CC0_0, 1;
    %ix/load 1, 6, 0;
    %mov 4, 0, 1;
    %jmp/1 T_9.4, 4;
    %load/x1p 16, v01066030_0, 2;
    %jmp T_9.5;
T_9.4 ;
    %mov 16, 2, 2;
T_9.5 ;
    %mov 14, 16, 2; Move signal select into place
    %set/v v01066088_0, 8, 8;
    %jmp T_9;
    .thread T_9, $push;
    .scope S_00B190F8;
T_10 ;
    %end;
    .thread T_10;
    .scope S_00B19180;
T_11 ;
    %wait E_00B4ECC0;
    %load/v 8, v01064E20_0, 2;
    %cmpi/u 8, 0, 2;
    %jmp/1 T_11.0, 6;
    %cmpi/u 8, 1, 2;
    %jmp/1 T_11.1, 6;
    %cmpi/u 8, 2, 2;
    %jmp/1 T_11.2, 6;
    %cmpi/u 8, 3, 2;
    %jmp/1 T_11.3, 6;
    %jmp T_11.4;
T_11.0 ;
    %load/v 8, v01064CC0_0, 16;
    %mov 24, 0, 1;
    %load/v 25, v01064D18_0, 16;
    %mov 41, 0, 1;
    %add 8, 25, 17;
    %set/v v01064ED0_0, 8, 16;
    %set/v v01064DC8_0, 24, 1;
    %jmp T_11.4;
T_11.1 ;
    %load/v 8, v01064CC0_0, 16;
    %mov 24, 0, 1;
    %load/v 25, v01064D18_0, 16;
    %mov 41, 0, 1;
    %sub 8, 25, 17;
    %set/v v01064ED0_0, 8, 16;
    %set/v v01064DC8_0, 24, 1;
    %jmp T_11.4;
T_11.2 ;
    %load/v 8, v01064CC0_0, 16;
    %mov 24, 0, 1;
    %load/v 25, v01064D18_0, 16;
    %mov 41, 0, 1;
    %add 8, 25, 17;
    %load/v 42, v01064D70_0, 1;
    %mov 43, 0, 8;
    %mov 25, 42, 9;
    %mov 34, 0, 8;
    %add 8, 25, 17;
    %set/v v01064ED0_0, 8, 16;
    %set/v v01064DC8_0, 24, 1;
    %jmp T_11.4;
T_11.3 ;
    %load/v 8, v01064CC0_0, 16;
    %mov 24, 0, 1;
    %load/v 25, v01064D18_0, 16;
    %mov 41, 0, 1;
    %sub 8, 25, 17;
    %load/v 42, v01064D70_0, 1;
    %mov 43, 0, 8;
    %mov 25, 42, 9;
    %mov 34, 0, 8;
    %sub 8, 25, 17;
    %set/v v01064ED0_0, 8, 16;
    %set/v v01064DC8_0, 24, 1;
    %jmp T_11.4;
T_11.4 ;
    %jmp T_11;
    .thread T_11, $push;
    .scope S_00B19180;
T_12 ;
    %wait E_00B4ED20;
    %load/v 8, v01064E20_0, 2;
    %cmpi/u 8, 0, 2;
    %jmp/1 T_12.0, 6;
    %cmpi/u 8, 2, 2;
    %jmp/1 T_12.1, 6;
    %cmpi/u 8, 1, 2;
    %jmp/1 T_12.2, 6;
    %cmpi/u 8, 3, 2;
    %jmp/1 T_12.3, 6;
    %jmp T_12.4;
T_12.0 ;
    %ix/load 1, 15, 0;
    %mov 4, 0, 1;
    %jmp/1 T_12.5, 4;
    %load/x1p 8, v01064CC0_0, 1;
    %jmp T_12.6;
T_12.5 ;
    %mov 8, 2, 1;
T_12.6 ;
; Save base=8 wid=1 in lookaside.
    %ix/load 1, 15, 0;
    %mov 4, 0, 1;
    %jmp/1 T_12.7, 4;
    %load/x1p 9, v01064D18_0, 1;
    %jmp T_12.8;
T_12.7 ;
    %mov 9, 2, 1;
T_12.8 ;
; Save base=9 wid=1 in lookaside.
    %and 8, 9, 1;
    %ix/load 1, 15, 0;
    %mov 4, 0, 1;
    %jmp/1 T_12.9, 4;
    %load/x1p 9, v01064ED0_0, 1;
    %jmp T_12.10;
T_12.9 ;
    %mov 9, 2, 1;
T_12.10 ;
; Save base=9 wid=1 in lookaside.
    %inv 9, 1;
    %and 8, 9, 1;
    %ix/load 1, 15, 0;
    %mov 4, 0, 1;
    %jmp/1 T_12.11, 4;
    %load/x1p 9, v01064CC0_0, 1;
    %jmp T_12.12;
T_12.11 ;
    %mov 9, 2, 1;
T_12.12 ;
; Save base=9 wid=1 in lookaside.
    %inv 9, 1;
    %ix/load 1, 15, 0;
    %mov 4, 0, 1;
    %jmp/1 T_12.13, 4;
    %load/x1p 10, v01064D18_0, 1;
    %jmp T_12.14;
T_12.13 ;
    %mov 10, 2, 1;
T_12.14 ;
; Save base=10 wid=1 in lookaside.
    %inv 10, 1;
    %and 9, 10, 1;
    %ix/load 1, 15, 0;
    %mov 4, 0, 1;
    %jmp/1 T_12.15, 4;
    %load/x1p 10, v01064ED0_0, 1;
    %jmp T_12.16;
T_12.15 ;
    %mov 10, 2, 1;
T_12.16 ;
; Save base=10 wid=1 in lookaside.
    %and 9, 10, 1;
    %or 8, 9, 1;
    %set/v v01064E78_0, 8, 1;
    %jmp T_12.4;
T_12.1 ;
    %ix/load 1, 15, 0;
    %mov 4, 0, 1;
    %jmp/1 T_12.17, 4;
    %load/x1p 8, v01064CC0_0, 1;
    %jmp T_12.18;
T_12.17 ;
    %mov 8, 2, 1;
T_12.18 ;
; Save base=8 wid=1 in lookaside.
    %ix/load 1, 15, 0;
    %mov 4, 0, 1;
    %jmp/1 T_12.19, 4;
    %load/x1p 9, v01064D18_0, 1;
    %jmp T_12.20;
T_12.19 ;
    %mov 9, 2, 1;
T_12.20 ;
; Save base=9 wid=1 in lookaside.
    %and 8, 9, 1;
    %ix/load 1, 15, 0;
    %mov 4, 0, 1;
    %jmp/1 T_12.21, 4;
    %load/x1p 9, v01064ED0_0, 1;
    %jmp T_12.22;
T_12.21 ;
    %mov 9, 2, 1;
T_12.22 ;
; Save base=9 wid=1 in lookaside.
    %inv 9, 1;
    %and 8, 9, 1;
    %ix/load 1, 15, 0;
    %mov 4, 0, 1;
    %jmp/1 T_12.23, 4;
    %load/x1p 9, v01064CC0_0, 1;
    %jmp T_12.24;
T_12.23 ;
    %mov 9, 2, 1;
T_12.24 ;
; Save base=9 wid=1 in lookaside.
    %inv 9, 1;
    %ix/load 1, 15, 0;
    %mov 4, 0, 1;
    %jmp/1 T_12.25, 4;
    %load/x1p 10, v01064D18_0, 1;
    %jmp T_12.26;
T_12.25 ;
    %mov 10, 2, 1;
T_12.26 ;
; Save base=10 wid=1 in lookaside.
    %inv 10, 1;
    %and 9, 10, 1;
    %ix/load 1, 15, 0;
    %mov 4, 0, 1;
    %jmp/1 T_12.27, 4;
    %load/x1p 10, v01064ED0_0, 1;
    %jmp T_12.28;
T_12.27 ;
    %mov 10, 2, 1;
T_12.28 ;
; Save base=10 wid=1 in lookaside.
    %and 9, 10, 1;
    %or 8, 9, 1;
    %set/v v01064E78_0, 8, 1;
    %jmp T_12.4;
T_12.2 ;
    %ix/load 1, 15, 0;
    %mov 4, 0, 1;
    %jmp/1 T_12.29, 4;
    %load/x1p 8, v01064CC0_0, 1;
    %jmp T_12.30;
T_12.29 ;
    %mov 8, 2, 1;
T_12.30 ;
; Save base=8 wid=1 in lookaside.
    %ix/load 1, 15, 0;
    %mov 4, 0, 1;
    %jmp/1 T_12.31, 4;
    %load/x1p 9, v01064D18_0, 1;
    %jmp T_12.32;
T_12.31 ;
    %mov 9, 2, 1;
T_12.32 ;
; Save base=9 wid=1 in lookaside.
    %inv 9, 1;
    %and 8, 9, 1;
    %ix/load 1, 15, 0;
    %mov 4, 0, 1;
    %jmp/1 T_12.33, 4;
    %load/x1p 9, v01064ED0_0, 1;
    %jmp T_12.34;
T_12.33 ;
    %mov 9, 2, 1;
T_12.34 ;
; Save base=9 wid=1 in lookaside.
    %inv 9, 1;
    %and 8, 9, 1;
    %ix/load 1, 15, 0;
    %mov 4, 0, 1;
    %jmp/1 T_12.35, 4;
    %load/x1p 9, v01064CC0_0, 1;
    %jmp T_12.36;
T_12.35 ;
    %mov 9, 2, 1;
T_12.36 ;
; Save base=9 wid=1 in lookaside.
    %inv 9, 1;
    %ix/load 1, 15, 0;
    %mov 4, 0, 1;
    %jmp/1 T_12.37, 4;
    %load/x1p 10, v01064D18_0, 1;
    %jmp T_12.38;
T_12.37 ;
    %mov 10, 2, 1;
T_12.38 ;
; Save base=10 wid=1 in lookaside.
    %and 9, 10, 1;
    %ix/load 1, 15, 0;
    %mov 4, 0, 1;
    %jmp/1 T_12.39, 4;
    %load/x1p 10, v01064ED0_0, 1;
    %jmp T_12.40;
T_12.39 ;
    %mov 10, 2, 1;
T_12.40 ;
; Save base=10 wid=1 in lookaside.
    %and 9, 10, 1;
    %or 8, 9, 1;
    %set/v v01064E78_0, 8, 1;
    %jmp T_12.4;
T_12.3 ;
    %ix/load 1, 15, 0;
    %mov 4, 0, 1;
    %jmp/1 T_12.41, 4;
    %load/x1p 8, v01064CC0_0, 1;
    %jmp T_12.42;
T_12.41 ;
    %mov 8, 2, 1;
T_12.42 ;
; Save base=8 wid=1 in lookaside.
    %ix/load 1, 15, 0;
    %mov 4, 0, 1;
    %jmp/1 T_12.43, 4;
    %load/x1p 9, v01064D18_0, 1;
    %jmp T_12.44;
T_12.43 ;
    %mov 9, 2, 1;
T_12.44 ;
; Save base=9 wid=1 in lookaside.
    %inv 9, 1;
    %and 8, 9, 1;
    %ix/load 1, 15, 0;
    %mov 4, 0, 1;
    %jmp/1 T_12.45, 4;
    %load/x1p 9, v01064ED0_0, 1;
    %jmp T_12.46;
T_12.45 ;
    %mov 9, 2, 1;
T_12.46 ;
; Save base=9 wid=1 in lookaside.
    %inv 9, 1;
    %and 8, 9, 1;
    %ix/load 1, 15, 0;
    %mov 4, 0, 1;
    %jmp/1 T_12.47, 4;
    %load/x1p 9, v01064CC0_0, 1;
    %jmp T_12.48;
T_12.47 ;
    %mov 9, 2, 1;
T_12.48 ;
; Save base=9 wid=1 in lookaside.
    %inv 9, 1;
    %ix/load 1, 15, 0;
    %mov 4, 0, 1;
    %jmp/1 T_12.49, 4;
    %load/x1p 10, v01064D18_0, 1;
    %jmp T_12.50;
T_12.49 ;
    %mov 10, 2, 1;
T_12.50 ;
; Save base=10 wid=1 in lookaside.
    %and 9, 10, 1;
    %ix/load 1, 15, 0;
    %mov 4, 0, 1;
    %jmp/1 T_12.51, 4;
    %load/x1p 10, v01064ED0_0, 1;
    %jmp T_12.52;
T_12.51 ;
    %mov 10, 2, 1;
T_12.52 ;
; Save base=10 wid=1 in lookaside.
    %and 9, 10, 1;
    %or 8, 9, 1;
    %set/v v01064E78_0, 8, 1;
    %jmp T_12.4;
T_12.4 ;
    %jmp T_12;
    .thread T_12, $push;
    .scope S_00B18988;
T_13 ;
    %wait E_00B4ED00;
    %set/v v010653A0_0, 0, 16;
    %load/v 8, v010651E8_0, 1;
    %set/v v01065190_0, 8, 1;
    %load/v 8, v01065638_0, 1;
    %set/v v01065588_0, 8, 1;
    %load/v 8, v01065348_0, 5;
    %cmpi/u 8, 8, 5;
    %jmp/1 T_13.0, 6;
    %cmpi/u 8, 10, 5;
    %jmp/1 T_13.1, 6;
    %cmpi/u 8, 9, 5;
    %jmp/1 T_13.2, 6;
    %cmpi/u 8, 11, 5;
    %jmp/1 T_13.3, 6;
    %cmpi/u 8, 27, 5;
    %jmp/1 T_13.4, 6;
    %cmpi/u 8, 4, 5;
    %jmp/1 T_13.5, 6;
    %cmpi/u 8, 2, 5;
    %jmp/1 T_13.6, 6;
    %cmpi/u 8, 1, 5;
    %jmp/1 T_13.7, 6;
    %cmpi/u 8, 28, 5;
    %jmp/1 T_13.8, 6;
    %jmp T_13.9;
T_13.0 ;
    %load/v 8, v01065088_0, 16;
    %set/v v010653A0_0, 8, 16;
    %load/v 8, v01065030_0, 1;
    %set/v v01065190_0, 8, 1;
    %load/v 8, v010650E0_0, 1;
    %set/v v01065588_0, 8, 1;
    %jmp T_13.9;
T_13.1 ;
    %load/v 8, v01065088_0, 16;
    %set/v v010653A0_0, 8, 16;
    %load/v 8, v01065030_0, 1;
    %set/v v01065190_0, 8, 1;
    %load/v 8, v010650E0_0, 1;
    %set/v v01065588_0, 8, 1;
    %jmp T_13.9;
T_13.2 ;
    %load/v 8, v01065088_0, 16;
    %set/v v010653A0_0, 8, 16;
    %load/v 8, v01065030_0, 1;
    %set/v v01065190_0, 8, 1;
    %load/v 8, v010650E0_0, 1;
    %set/v v01065588_0, 8, 1;
    %jmp T_13.9;
T_13.3 ;
    %load/v 8, v01065088_0, 16;
    %set/v v010653A0_0, 8, 16;
    %load/v 8, v01065030_0, 1;
    %set/v v01065190_0, 8, 1;
    %load/v 8, v010650E0_0, 1;
    %set/v v01065588_0, 8, 1;
    %jmp T_13.9;
T_13.4 ;
    %load/v 8, v010653F8_0, 16;
    %set/v v010653A0_0, 8, 16;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %jmp/1 T_13.10, 4;
    %load/x1p 8, v010653F8_0, 1;
    %jmp T_13.11;
T_13.10 ;
    %mov 8, 2, 1;
T_13.11 ;
; Save base=8 wid=1 in lookaside.
    %set/v v01065190_0, 8, 1;
    %jmp T_13.9;
T_13.5 ;
    %set/v v01065588_0, 0, 1;
    %load/v 8, v01065138_0, 16;
    %set/v v010653A0_0, 8, 16;
    %jmp T_13.9;
T_13.6 ;
    %load/v 8, v01064FD8_0, 16;
    %set/v v010653A0_0, 8, 16;
    %jmp T_13.9;
T_13.7 ;
    %load/v 8, v01065138_0, 8; Select 8 out of 16 bits
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %jmp/1 T_13.15, 4;
    %load/x1p 24, v01065138_0, 1;
    %jmp T_13.16;
T_13.15 ;
    %mov 24, 2, 1;
T_13.16 ;
; Save base=24 wid=1 in lookaside.
    %jmp/0  T_13.12, 24;
    %mov 25, 1, 8;
    %jmp/1  T_13.14, 24;
T_13.12 ; End of true expr.
    %jmp/0  T_13.13, 24;
 ; End of false expr.
    %blend  25, 0, 8; Condition unknown.
    %jmp  T_13.14;
T_13.13 ;
    %mov 25, 0, 8; Return false value
T_13.14 ;
    %mov 16, 25, 8;
    %set/v v010653A0_0, 8, 16;
    %jmp T_13.9;
T_13.8 ;
    %load/v 8, v01064FD8_0, 16;
    %set/v v010653A0_0, 8, 16;
    %jmp T_13.9;
T_13.9 ;
    %jmp T_13;
    .thread T_13, $push;
    .scope S_00B18988;
T_14 ;
    %wait E_00AEEA58;
    %load/v 8, v010652F0_0, 1;
    %ix/load 0, 1, 0;
    %assign/v0 v010654A8_0, 0, 8;
    %load/v 8, v01065690_0, 1;
    %ix/load 0, 1, 0;
    %assign/v0 v01065530_0, 0, 8;
    %jmp T_14;
    .thread T_14;
    .scope S_00B18988;
T_15 ;
    %wait E_00B4EAE0;
    %ix/load 1, 15, 0;
    %mov 4, 0, 1;
    %jmp/1 T_15.0, 4;
    %load/x1p 8, v010653A0_0, 1;
    %jmp T_15.1;
T_15.0 ;
    %mov 8, 2, 1;
T_15.1 ;
; Save base=8 wid=1 in lookaside.
    %set/v v01065298_0, 8, 1;
    %load/v 8, v010653A0_0, 16;
    %cmpi/u 8, 0, 16;
    %mov 8, 4, 1;
    %set/v v010655E0_0, 8, 1;
    %load/v 8, v01065348_0, 5;
    %cmpi/u 8, 8, 5;
    %jmp/1 T_15.2, 6;
    %cmpi/u 8, 10, 5;
    %jmp/1 T_15.3, 6;
    %cmpi/u 8, 9, 5;
    %jmp/1 T_15.4, 6;
    %cmpi/u 8, 11, 5;
    %jmp/1 T_15.5, 6;
    %cmpi/u 8, 22, 5;
    %jmp/1 T_15.6, 6;
    %cmpi/u 8, 21, 5;
    %jmp/1 T_15.7, 6;
    %cmpi/u 8, 20, 5;
    %jmp/1 T_15.8, 6;
    %cmpi/u 8, 16, 5;
    %jmp/1 T_15.9, 6;
    %cmpi/u 8, 17, 5;
    %jmp/1 T_15.10, 6;
    %cmpi/u 8, 18, 5;
    %jmp/1 T_15.11, 6;
    %cmpi/u 8, 19, 5;
    %jmp/1 T_15.12, 6;
    %cmpi/u 8, 5, 5;
    %jmp/1 T_15.13, 6;
    %cmpi/u 8, 6, 5;
    %jmp/1 T_15.14, 6;
    %cmpi/u 8, 7, 5;
    %jmp/1 T_15.15, 6;
    %cmpi/u 8, 27, 5;
    %jmp/1 T_15.16, 6;
    %cmpi/u 8, 4, 5;
    %jmp/1 T_15.17, 6;
    %cmpi/u 8, 2, 5;
    %jmp/1 T_15.18, 6;
    %cmpi/u 8, 1, 5;
    %jmp/1 T_15.19, 6;
    %cmpi/u 8, 28, 5;
    %jmp/1 T_15.20, 6;
    %jmp T_15.21;
T_15.2 ;
    %jmp T_15.21;
T_15.3 ;
    %jmp T_15.21;
T_15.4 ;
    %jmp T_15.21;
T_15.5 ;
    %jmp T_15.21;
T_15.6 ;
    %jmp T_15.21;
T_15.7 ;
    %jmp T_15.21;
T_15.8 ;
    %jmp T_15.21;
T_15.9 ;
    %jmp T_15.21;
T_15.10 ;
    %jmp T_15.21;
T_15.11 ;
    %jmp T_15.21;
T_15.12 ;
    %jmp T_15.21;
T_15.13 ;
    %jmp T_15.21;
T_15.14 ;
    %jmp T_15.21;
T_15.15 ;
    %jmp T_15.21;
T_15.16 ;
    %load/v 8, v010654A8_0, 1;
    %set/v v01065298_0, 8, 1;
    %jmp T_15.21;
T_15.17 ;
    %jmp T_15.21;
T_15.18 ;
    %jmp T_15.21;
T_15.19 ;
    %load/v 8, v010654A8_0, 1;
    %set/v v01065298_0, 8, 1;
    %load/v 8, v01065530_0, 1;
    %set/v v010655E0_0, 8, 1;
    %jmp T_15.21;
T_15.20 ;
    %load/v 8, v010654A8_0, 1;
    %set/v v01065298_0, 8, 1;
    %jmp T_15.21;
T_15.21 ;
    %jmp T_15;
    .thread T_15, $push;
    .scope S_00B18988;
T_16 ;
    %wait E_00AF2038;
    %load/v 8, v010653A0_0, 16;
    %set/v v01065450_0, 8, 16;
    %load/v 8, v01065190_0, 1;
    %load/v 9, v01065588_0, 1;
    %load/v 10, v010655E0_0, 1;
    %load/v 11, v01065298_0, 1;
    %set/v v01064F80_0, 8, 4;
    %jmp T_16;
    .thread T_16, $push;
    .scope S_00B18900;
T_17 ;
    %wait E_00AEEA58;
    %load/v 8, v01068588_0, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v010688F8_0, 0, 8;
    %load/v 8, v010685E0_0, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v01068950_0, 0, 8;
    %load/v 8, v01068740_0, 5;
    %ix/load 0, 5, 0;
    %assign/v0 v010689A8_0, 0, 8;
    %jmp T_17;
    .thread T_17;
    .scope S_00B18900;
T_18 ;
    %wait E_00AF2098;
    %load/v 8, v01068A00_0, 1;
    %jmp/0xz  T_18.0, 8;
    %load/v 8, v010687F0_0, 16;
    %set/v v010688A0_0, 8, 16;
    %load/v 8, v01068638_0, 4;
    %ix/load 1, 4, 0;
    %mov 4, 0, 1;
    %jmp/1 T_18.2, 4;
    %load/x1p 16, v010684A8_0, 4;
    %jmp T_18.3;
T_18.2 ;
    %mov 16, 2, 4;
T_18.3 ;
    %mov 12, 16, 4; Move signal select into place
    %set/v v01068530_0, 8, 8;
    %jmp T_18.1;
T_18.0 ;
    %load/v 8, v01068848_0, 8;
    %mov 16, 0, 8;
    %set/v v010688A0_0, 8, 16;
    %load/v 8, v01068690_0, 8;
    %set/v v01068530_0, 8, 8;
T_18.1 ;
    %jmp T_18;
    .thread T_18, $push;
    .scope S_00B18A98;
T_19 ;
    %wait E_00AF0878;
    %ix/load 1, 5, 0;
    %mov 4, 0, 1;
    %jmp/1 T_19.0, 4;
    %load/x1p 8, v01063740_0, 2;
    %jmp T_19.1;
T_19.0 ;
    %mov 8, 2, 2;
T_19.1 ;
; Save base=8 wid=2 in lookaside.
    %cmpi/u 8, 0, 2;
    %jmp/1 T_19.2, 6;
    %cmpi/u 8, 1, 2;
    %jmp/1 T_19.3, 6;
    %cmpi/u 8, 2, 2;
    %jmp/1 T_19.4, 6;
    %cmpi/u 8, 3, 2;
    %jmp/1 T_19.5, 6;
    %jmp T_19.6;
T_19.2 ;
    %load/v 8, v01063798_0, 16;
    %set/v v01063588_0, 8, 16;
    %jmp T_19.6;
T_19.3 ;
    %load/v 8, v010637F0_0, 16;
    %set/v v01063588_0, 8, 16;
    %jmp T_19.6;
T_19.4 ;
    %load/v 8, v01063950_0, 16;
    %set/v v01063588_0, 8, 16;
    %jmp T_19.6;
T_19.5 ;
    %load/v 8, v010638F8_0, 16;
    %set/v v01063588_0, 8, 16;
    %jmp T_19.6;
T_19.6 ;
    %jmp T_19;
    .thread T_19, $push;
    .scope S_00B18A98;
T_20 ;
    %wait E_00AF1238;
    %load/v 8, v01063740_0, 2; Only need 2 of 8 bits
; Save base=8 wid=2 in lookaside.
    %cmpi/u 8, 0, 2;
    %jmp/1 T_20.0, 6;
    %cmpi/u 8, 1, 2;
    %jmp/1 T_20.1, 6;
    %cmpi/u 8, 2, 2;
    %jmp/1 T_20.2, 6;
    %cmpi/u 8, 3, 2;
    %jmp/1 T_20.3, 6;
    %jmp T_20.4;
T_20.0 ;
    %ix/load 0, 1, 0;
    %load/vp0 8, v01063588_0, 16;
    %set/v v010635E0_0, 8, 16;
    %jmp T_20.4;
T_20.1 ;
    %ix/load 0, 2, 0;
    %load/vp0 8, v01063588_0, 16;
    %set/v v010635E0_0, 8, 16;
    %jmp T_20.4;
T_20.2 ;
    %load/v 8, v01063588_0, 16;
    %subi 8, 1, 16;
    %set/v v010635E0_0, 8, 16;
    %jmp T_20.4;
T_20.3 ;
    %load/v 8, v01063588_0, 16;
    %subi 8, 2, 16;
    %set/v v010635E0_0, 8, 16;
    %jmp T_20.4;
T_20.4 ;
    %jmp T_20;
    .thread T_20, $push;
    .scope S_00B18A98;
T_21 ;
    %wait E_00AF1218;
    %movi 8, 65261, 16;
    %set/v v01063690_0, 8, 16;
    %load/v 8, v01063740_0, 8;
    %mov 16, 2, 4;
    %movi 20, 0, 1;
    %mov 21, 2, 2;
    %movi 23, 0, 1;
    %cmp/x 8, 16, 8;
    %jmp/1 T_21.0, 4;
    %mov 24, 2, 4;
    %movi 28, 1, 1;
    %mov 29, 2, 2;
    %movi 31, 0, 1;
    %cmp/x 8, 24, 8;
    %jmp/1 T_21.1, 4;
    %movi 32, 0, 4;
    %mov 36, 2, 3;
    %movi 39, 1, 1;
    %cmp/x 8, 32, 8;
    %jmp/1 T_21.2, 4;
    %movi 40, 1, 4;
    %mov 44, 2, 3;
    %movi 47, 1, 1;
    %cmp/x 8, 40, 8;
    %jmp/1 T_21.3, 4;
    %movi 48, 4, 4;
    %mov 52, 2, 3;
    %movi 55, 1, 1;
    %cmp/x 8, 48, 8;
    %jmp/1 T_21.4, 4;
    %movi 56, 2, 4;
    %mov 60, 2, 3;
    %movi 63, 1, 1;
    %cmp/x 8, 56, 8;
    %jmp/1 T_21.5, 4;
    %movi 64, 3, 4;
    %mov 68, 2, 3;
    %movi 71, 1, 1;
    %cmp/x 8, 64, 8;
    %jmp/1 T_21.6, 4;
    %movi 72, 5, 4;
    %mov 76, 2, 3;
    %movi 79, 1, 1;
    %cmp/x 8, 72, 8;
    %jmp/1 T_21.7, 4;
    %movi 80, 6, 4;
    %mov 84, 2, 3;
    %movi 87, 1, 1;
    %cmp/x 8, 80, 8;
    %jmp/1 T_21.8, 4;
    %movi 88, 11, 4;
    %mov 92, 2, 3;
    %movi 95, 1, 1;
    %cmp/x 8, 88, 8;
    %jmp/1 T_21.9, 4;
    %movi 96, 8, 4;
    %mov 100, 2, 3;
    %movi 103, 1, 1;
    %cmp/x 8, 96, 8;
    %jmp/1 T_21.10, 4;
    %movi 104, 9, 4;
    %mov 108, 2, 3;
    %movi 111, 1, 1;
    %cmp/x 8, 104, 8;
    %jmp/1 T_21.11, 4;
    %movi 112, 12, 4;
    %mov 116, 2, 3;
    %movi 119, 1, 1;
    %cmp/x 8, 112, 8;
    %jmp/1 T_21.12, 4;
    %movi 120, 13, 4;
    %mov 124, 2, 3;
    %movi 127, 1, 1;
    %cmp/x 8, 120, 8;
    %jmp/1 T_21.13, 4;
    %jmp T_21.14;
T_21.0 ;
    %load/v 8, v01063588_0, 16;
    %load/v 24, v01063740_0, 4; Select 4 out of 8 bits
    %mov 28, 0, 12;
    %add 8, 24, 16;
    %set/v v01063690_0, 8, 16;
    %jmp T_21.14;
T_21.1 ;
    %load/v 8, v01063588_0, 16;
    %load/v 24, v01063740_0, 4; Select 4 out of 8 bits
    %mov 28, 1, 12;
    %add 8, 24, 16;
    %set/v v01063690_0, 8, 16;
    %jmp T_21.14;
T_21.2 ;
    %load/v 8, v01063588_0, 16;
    %set/v v01063690_0, 8, 16;
    %jmp T_21.14;
T_21.3 ;
    %load/v 8, v01063588_0, 16;
    %set/v v01063690_0, 8, 16;
    %jmp T_21.14;
T_21.4 ;
    %load/v 8, v01063588_0, 16;
    %set/v v01063690_0, 8, 16;
    %jmp T_21.14;
T_21.5 ;
    %load/v 8, v010635E0_0, 16;
    %set/v v01063690_0, 8, 16;
    %jmp T_21.14;
T_21.6 ;
    %load/v 8, v010635E0_0, 16;
    %set/v v01063690_0, 8, 16;
    %jmp T_21.14;
T_21.7 ;
    %load/v 8, v01063588_0, 16;
    %load/v 24, v01063530_0, 8;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %jmp/1 T_21.15, 4;
    %load/x1p 48, v01063530_0, 1;
    %jmp T_21.16;
T_21.15 ;
    %mov 48, 2, 1;
T_21.16 ;
    %mov 40, 48, 1; Move signal select into place
    %mov 47, 40, 1; Repetition 8
    %mov 46, 40, 1; Repetition 7
    %mov 45, 40, 1; Repetition 6
    %mov 44, 40, 1; Repetition 5
    %mov 43, 40, 1; Repetition 4
    %mov 42, 40, 1; Repetition 3
    %mov 41, 40, 1; Repetition 2
    %mov 32, 40, 8;
    %add 8, 24, 16;
    %set/v v01063690_0, 8, 16;
    %jmp T_21.14;
T_21.8 ;
    %load/v 8, v01063588_0, 16;
    %load/v 24, v010634A8_0, 8;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %jmp/1 T_21.17, 4;
    %load/x1p 48, v010634A8_0, 1;
    %jmp T_21.18;
T_21.17 ;
    %mov 48, 2, 1;
T_21.18 ;
    %mov 40, 48, 1; Move signal select into place
    %mov 47, 40, 1; Repetition 8
    %mov 46, 40, 1; Repetition 7
    %mov 45, 40, 1; Repetition 6
    %mov 44, 40, 1; Repetition 5
    %mov 43, 40, 1; Repetition 4
    %mov 42, 40, 1; Repetition 3
    %mov 41, 40, 1; Repetition 2
    %mov 32, 40, 8;
    %add 8, 24, 16;
    %set/v v01063690_0, 8, 16;
    %jmp T_21.14;
T_21.9 ;
    %load/v 8, v01063588_0, 16;
    %load/v 24, v01063530_0, 8;
    %load/v 32, v010634A8_0, 8;
    %add 8, 24, 16;
    %set/v v01063690_0, 8, 16;
    %jmp T_21.14;
T_21.10 ;
    %load/v 8, v01063588_0, 16;
    %load/v 24, v01063848_0, 8; Select 8 out of 16 bits
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %jmp/1 T_21.22, 4;
    %load/x1p 40, v01063848_0, 1;
    %jmp T_21.23;
T_21.22 ;
    %mov 40, 2, 1;
T_21.23 ;
; Save base=40 wid=1 in lookaside.
    %jmp/0  T_21.19, 40;
    %mov 41, 1, 8;
    %jmp/1  T_21.21, 40;
T_21.19 ; End of true expr.
    %jmp/0  T_21.20, 40;
 ; End of false expr.
    %blend  41, 0, 8; Condition unknown.
    %jmp  T_21.21;
T_21.20 ;
    %mov 41, 0, 8; Return false value
T_21.21 ;
    %mov 32, 41, 8;
    %add 8, 24, 16;
    %set/v v01063690_0, 8, 16;
    %jmp T_21.14;
T_21.11 ;
    %load/v 8, v01063588_0, 16;
    %load/v 24, v01063848_0, 16;
    %add 8, 24, 16;
    %set/v v01063690_0, 8, 16;
    %jmp T_21.14;
T_21.12 ;
    %load/v 8, v010638A0_0, 16;
    %load/v 24, v01063848_0, 8; Select 8 out of 16 bits
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %jmp/1 T_21.27, 4;
    %load/x1p 40, v01063848_0, 1;
    %jmp T_21.28;
T_21.27 ;
    %mov 40, 2, 1;
T_21.28 ;
; Save base=40 wid=1 in lookaside.
    %jmp/0  T_21.24, 40;
    %mov 41, 1, 8;
    %jmp/1  T_21.26, 40;
T_21.24 ; End of true expr.
    %jmp/0  T_21.25, 40;
 ; End of false expr.
    %blend  41, 0, 8; Condition unknown.
    %jmp  T_21.26;
T_21.25 ;
    %mov 41, 0, 8; Return false value
T_21.26 ;
    %mov 32, 41, 8;
    %add 8, 24, 16;
    %set/v v01063690_0, 8, 16;
    %jmp T_21.14;
T_21.13 ;
    %load/v 8, v010638A0_0, 16;
    %load/v 24, v01063848_0, 16;
    %add 8, 24, 16;
    %set/v v01063690_0, 8, 16;
    %jmp T_21.14;
T_21.14 ;
    %jmp T_21;
    .thread T_21, $push;
    .scope S_00B18B20;
T_22 ;
    %wait E_00AEF058;
    %load/v 8, v01064588_0, 4;
    %cmpi/u 8, 8, 4;
    %jmp/1 T_22.0, 6;
    %cmpi/u 8, 9, 4;
    %jmp/1 T_22.1, 6;
    %cmpi/u 8, 0, 4;
    %jmp/1 T_22.2, 6;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_22.3, 6;
    %cmpi/u 8, 2, 4;
    %jmp/1 T_22.4, 6;
    %cmpi/u 8, 3, 4;
    %jmp/1 T_22.5, 6;
    %cmpi/u 8, 4, 4;
    %jmp/1 T_22.6, 6;
    %cmpi/u 8, 5, 4;
    %jmp/1 T_22.7, 6;
    %cmpi/u 8, 11, 4;
    %jmp/1 T_22.8, 6;
    %cmpi/u 8, 10, 4;
    %jmp/1 T_22.9, 6;
    %set/v v010645E0_0, 1, 16;
    %jmp T_22.11;
T_22.0 ;
    %load/v 8, v010639A8_0, 8;
    %mov 16, 1, 8;
    %set/v v010645E0_0, 8, 16;
    %jmp T_22.11;
T_22.1 ;
    %load/v 8, v01063A00_0, 8;
    %mov 16, 0, 8;
    %set/v v010645E0_0, 8, 16;
    %jmp T_22.11;
T_22.2 ;
    %load/v 8, v01063A00_0, 8;
    %load/v 16, v010639A8_0, 8;
    %set/v v010645E0_0, 8, 16;
    %jmp T_22.11;
T_22.3 ;
    %load/v 8, v01063B60_0, 16;
    %set/v v010645E0_0, 8, 16;
    %jmp T_22.11;
T_22.4 ;
    %load/v 8, v01063BB8_0, 16;
    %set/v v010645E0_0, 8, 16;
    %jmp T_22.11;
T_22.5 ;
    %load/v 8, v01063CC0_0, 16;
    %set/v v010645E0_0, 8, 16;
    %jmp T_22.11;
T_22.6 ;
    %load/v 8, v01063C68_0, 16;
    %set/v v010645E0_0, 8, 16;
    %jmp T_22.11;
T_22.7 ;
    %load/v 8, v01063C10_0, 16;
    %set/v v010645E0_0, 8, 16;
    %jmp T_22.11;
T_22.8 ;
    %load/v 8, v01063B08_0, 8;
    %load/v 16, v01063B08_0, 8;
    %set/v v010645E0_0, 8, 16;
    %jmp T_22.11;
T_22.9 ;
    %load/v 24, v01063E78_0, 1;
    %load/v 25, v010649A8_0, 1;
    %load/v 26, v01064C68_0, 1;
    %load/v 27, v010644A8_0, 1;
    %load/v 28, v010643A0_0, 1;
    %load/v 29, v01064298_0, 1;
    %load/v 30, v01064240_0, 1;
    %load/v 31, v01064190_0, 1;
    %mov 8, 24, 8;
    %load/v 24, v01063E78_0, 1;
    %load/v 25, v010649A8_0, 1;
    %load/v 26, v01064C68_0, 1;
    %load/v 27, v010644A8_0, 1;
    %load/v 28, v010643A0_0, 1;
    %load/v 29, v01064298_0, 1;
    %load/v 30, v01064240_0, 1;
    %load/v 31, v01064190_0, 1;
    %mov 16, 24, 8;
    %set/v v010645E0_0, 8, 16;
    %jmp T_22.11;
T_22.11 ;
    %jmp T_22;
    .thread T_22, $push;
    .scope S_00B18B20;
T_23 ;
    %wait E_00AEF038;
    %load/v 8, v01064638_0, 4;
    %cmpi/u 8, 8, 4;
    %jmp/1 T_23.0, 6;
    %cmpi/u 8, 9, 4;
    %jmp/1 T_23.1, 6;
    %cmpi/u 8, 0, 4;
    %jmp/1 T_23.2, 6;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_23.3, 6;
    %cmpi/u 8, 2, 4;
    %jmp/1 T_23.4, 6;
    %cmpi/u 8, 3, 4;
    %jmp/1 T_23.5, 6;
    %cmpi/u 8, 4, 4;
    %jmp/1 T_23.6, 6;
    %cmpi/u 8, 5, 4;
    %jmp/1 T_23.7, 6;
    %cmpi/u 8, 11, 4;
    %jmp/1 T_23.8, 6;
    %cmpi/u 8, 10, 4;
    %jmp/1 T_23.9, 6;
    %set/v v01064690_0, 1, 16;
    %jmp T_23.11;
T_23.0 ;
    %load/v 8, v010639A8_0, 8;
    %mov 16, 1, 8;
    %set/v v01064690_0, 8, 16;
    %jmp T_23.11;
T_23.1 ;
    %load/v 8, v01063A00_0, 8;
    %mov 16, 0, 8;
    %set/v v01064690_0, 8, 16;
    %jmp T_23.11;
T_23.2 ;
    %load/v 8, v01063A00_0, 8;
    %load/v 16, v010639A8_0, 8;
    %set/v v01064690_0, 8, 16;
    %jmp T_23.11;
T_23.3 ;
    %load/v 8, v01063B60_0, 16;
    %set/v v01064690_0, 8, 16;
    %jmp T_23.11;
T_23.4 ;
    %load/v 8, v01063BB8_0, 16;
    %set/v v01064690_0, 8, 16;
    %jmp T_23.11;
T_23.5 ;
    %load/v 8, v01063CC0_0, 16;
    %set/v v01064690_0, 8, 16;
    %jmp T_23.11;
T_23.6 ;
    %load/v 8, v01063C68_0, 16;
    %set/v v01064690_0, 8, 16;
    %jmp T_23.11;
T_23.7 ;
    %load/v 8, v01063C10_0, 16;
    %set/v v01064690_0, 8, 16;
    %jmp T_23.11;
T_23.8 ;
    %load/v 8, v01063B08_0, 8;
    %load/v 16, v01063B08_0, 8;
    %set/v v01064690_0, 8, 16;
    %jmp T_23.11;
T_23.9 ;
    %load/v 24, v01063E78_0, 1;
    %load/v 25, v010649A8_0, 1;
    %load/v 26, v01064C68_0, 1;
    %load/v 27, v010644A8_0, 1;
    %load/v 28, v010643A0_0, 1;
    %load/v 29, v01064298_0, 1;
    %load/v 30, v01064240_0, 1;
    %load/v 31, v01064190_0, 1;
    %mov 8, 24, 8;
    %load/v 24, v01063E78_0, 1;
    %load/v 25, v010649A8_0, 1;
    %load/v 26, v01064C68_0, 1;
    %load/v 27, v010644A8_0, 1;
    %load/v 28, v010643A0_0, 1;
    %load/v 29, v01064298_0, 1;
    %load/v 30, v01064240_0, 1;
    %load/v 31, v01064190_0, 1;
    %mov 16, 24, 8;
    %set/v v01064690_0, 8, 16;
    %jmp T_23.11;
T_23.11 ;
    %jmp T_23;
    .thread T_23, $push;
    .scope S_00B18B20;
T_24 ;
    %wait E_00AEEA58;
    %load/v 8, v01064A00_0, 1;
    %load/v 9, v010642F0_0, 1;
    %or 8, 9, 1;
    %jmp/0xz  T_24.0, 8;
    %load/v 8, v010648A0_0, 4;
    %cmpi/u 8, 0, 4;
    %jmp/1 T_24.2, 6;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_24.3, 6;
    %cmpi/u 8, 2, 4;
    %jmp/1 T_24.4, 6;
    %cmpi/u 8, 3, 4;
    %jmp/1 T_24.5, 6;
    %cmpi/u 8, 4, 4;
    %jmp/1 T_24.6, 6;
    %cmpi/u 8, 5, 4;
    %jmp/1 T_24.7, 6;
    %cmpi/u 8, 8, 4;
    %jmp/1 T_24.8, 6;
    %cmpi/u 8, 9, 4;
    %jmp/1 T_24.9, 6;
    %cmpi/u 8, 10, 4;
    %jmp/1 T_24.10, 6;
    %cmpi/u 8, 11, 4;
    %jmp/1 T_24.11, 6;
    %jmp T_24.12;
T_24.2 ;
    %load/v 8, v01064848_0, 16;
    %ix/load 0, 8, 0;
    %assign/v0 v01063A00_0, 0, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v010639A8_0, 0, 16;
    %jmp T_24.12;
T_24.3 ;
    %load/v 8, v01064848_0, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v01063B60_0, 0, 8;
    %jmp T_24.12;
T_24.4 ;
    %load/v 8, v01064848_0, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v01063BB8_0, 0, 8;
    %jmp T_24.12;
T_24.5 ;
    %load/v 8, v01064848_0, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v01063CC0_0, 0, 8;
    %jmp T_24.12;
T_24.6 ;
    %load/v 8, v01064848_0, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v01063C68_0, 0, 8;
    %jmp T_24.12;
T_24.7 ;
    %load/v 8, v01064848_0, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v01063C10_0, 0, 8;
    %jmp T_24.12;
T_24.8 ;
    %load/v 8, v01064848_0, 8; Only need 8 of 16 bits
; Save base=8 wid=8 in lookaside.
    %ix/load 0, 8, 0;
    %assign/v0 v010639A8_0, 0, 8;
    %jmp T_24.12;
T_24.9 ;
    %load/v 8, v01064848_0, 8; Only need 8 of 16 bits
; Save base=8 wid=8 in lookaside.
    %ix/load 0, 8, 0;
    %assign/v0 v01063A00_0, 0, 8;
    %jmp T_24.12;
T_24.10 ;
    %load/v 8, v01064848_0, 8; Only need 8 of 16 bits
; Save base=8 wid=8 in lookaside.
    %ix/load 0, 1, 0;
    %assign/v0 v01063E78_0, 0, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v010649A8_0, 0, 9;
    %ix/load 0, 1, 0;
    %assign/v0 v01064C68_0, 0, 10;
    %ix/load 0, 1, 0;
    %assign/v0 v010644A8_0, 0, 11;
    %ix/load 0, 1, 0;
    %assign/v0 v010643A0_0, 0, 12;
    %ix/load 0, 1, 0;
    %assign/v0 v01064298_0, 0, 13;
    %ix/load 0, 1, 0;
    %assign/v0 v01064240_0, 0, 14;
    %ix/load 0, 1, 0;
    %assign/v0 v01064190_0, 0, 15;
    %jmp T_24.12;
T_24.11 ;
    %load/v 8, v01064848_0, 8; Only need 8 of 16 bits
; Save base=8 wid=8 in lookaside.
    %ix/load 0, 8, 0;
    %assign/v0 v01063B08_0, 0, 8;
    %jmp T_24.12;
T_24.12 ;
T_24.0 ;
    %load/v 8, v01064C10_0, 1;
    %load/v 9, v01064A00_0, 1;
    %or 8, 9, 1;
    %load/v 9, v01064B60_0, 1;
    %or 8, 9, 1;
    %jmp/0xz  T_24.13, 8;
    %load/v 8, v01064BB8_0, 4;
    %cmpi/u 8, 0, 4;
    %jmp/1 T_24.15, 6;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_24.16, 6;
    %cmpi/u 8, 2, 4;
    %jmp/1 T_24.17, 6;
    %cmpi/u 8, 3, 4;
    %jmp/1 T_24.18, 6;
    %cmpi/u 8, 4, 4;
    %jmp/1 T_24.19, 6;
    %cmpi/u 8, 5, 4;
    %jmp/1 T_24.20, 6;
    %cmpi/u 8, 8, 4;
    %jmp/1 T_24.21, 6;
    %cmpi/u 8, 9, 4;
    %jmp/1 T_24.22, 6;
    %cmpi/u 8, 10, 4;
    %jmp/1 T_24.23, 6;
    %cmpi/u 8, 11, 4;
    %jmp/1 T_24.24, 6;
    %jmp T_24.25;
T_24.15 ;
    %load/v 8, v010643F8_0, 16;
    %ix/load 0, 8, 0;
    %assign/v0 v01063A00_0, 0, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v010639A8_0, 0, 16;
    %jmp T_24.25;
T_24.16 ;
    %load/v 8, v010643F8_0, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v01063B60_0, 0, 8;
    %jmp T_24.25;
T_24.17 ;
    %load/v 8, v010643F8_0, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v01063BB8_0, 0, 8;
    %jmp T_24.25;
T_24.18 ;
    %load/v 8, v010643F8_0, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v01063CC0_0, 0, 8;
    %jmp T_24.25;
T_24.19 ;
    %load/v 8, v010643F8_0, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v01063C68_0, 0, 8;
    %jmp T_24.25;
T_24.20 ;
    %load/v 8, v010643F8_0, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v01063C10_0, 0, 8;
    %jmp T_24.25;
T_24.21 ;
    %load/v 8, v010643F8_0, 8; Only need 8 of 16 bits
; Save base=8 wid=8 in lookaside.
    %ix/load 0, 8, 0;
    %assign/v0 v010639A8_0, 0, 8;
    %jmp T_24.25;
T_24.22 ;
    %load/v 8, v010643F8_0, 8; Only need 8 of 16 bits
; Save base=8 wid=8 in lookaside.
    %ix/load 0, 8, 0;
    %assign/v0 v01063A00_0, 0, 8;
    %jmp T_24.25;
T_24.23 ;
    %load/v 8, v010643F8_0, 8; Only need 8 of 16 bits
; Save base=8 wid=8 in lookaside.
    %ix/load 0, 1, 0;
    %assign/v0 v01063E78_0, 0, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v010649A8_0, 0, 9;
    %ix/load 0, 1, 0;
    %assign/v0 v01064C68_0, 0, 10;
    %ix/load 0, 1, 0;
    %assign/v0 v010644A8_0, 0, 11;
    %ix/load 0, 1, 0;
    %assign/v0 v010643A0_0, 0, 12;
    %ix/load 0, 1, 0;
    %assign/v0 v01064298_0, 0, 13;
    %ix/load 0, 1, 0;
    %assign/v0 v01064240_0, 0, 14;
    %ix/load 0, 1, 0;
    %assign/v0 v01064190_0, 0, 15;
    %jmp T_24.25;
T_24.24 ;
    %load/v 8, v010643F8_0, 8; Only need 8 of 16 bits
; Save base=8 wid=8 in lookaside.
    %ix/load 0, 8, 0;
    %assign/v0 v01063B08_0, 0, 8;
    %jmp T_24.25;
T_24.25 ;
T_24.13 ;
    %load/v 8, v01064B08_0, 1;
    %jmp/0xz  T_24.26, 8;
    %ix/load 1, 5, 0;
    %mov 4, 0, 1;
    %jmp/1 T_24.28, 4;
    %load/x1p 8, v01064138_0, 2;
    %jmp T_24.29;
T_24.28 ;
    %mov 8, 2, 2;
T_24.29 ;
; Save base=8 wid=2 in lookaside.
    %cmpi/u 8, 0, 2;
    %jmp/1 T_24.30, 6;
    %cmpi/u 8, 1, 2;
    %jmp/1 T_24.31, 6;
    %cmpi/u 8, 2, 2;
    %jmp/1 T_24.32, 6;
    %cmpi/u 8, 3, 2;
    %jmp/1 T_24.33, 6;
    %jmp T_24.34;
T_24.30 ;
    %load/v 8, v01064088_0, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v01063B60_0, 0, 8;
    %jmp T_24.34;
T_24.31 ;
    %load/v 8, v01064088_0, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v01063BB8_0, 0, 8;
    %jmp T_24.34;
T_24.32 ;
    %load/v 8, v01064088_0, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v01063CC0_0, 0, 8;
    %jmp T_24.34;
T_24.33 ;
    %load/v 8, v01064088_0, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v01063C68_0, 0, 8;
    %jmp T_24.34;
T_24.34 ;
T_24.26 ;
    %load/v 8, v01064A58_0, 1;
    %jmp/0xz  T_24.35, 8;
    %load/v 8, v01063A58_0, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v01063E78_0, 0, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v010649A8_0, 0, 9;
    %ix/load 0, 1, 0;
    %assign/v0 v01064C68_0, 0, 10;
    %ix/load 0, 1, 0;
    %assign/v0 v010644A8_0, 0, 11;
    %ix/load 0, 1, 0;
    %assign/v0 v010643A0_0, 0, 12;
    %ix/load 0, 1, 0;
    %assign/v0 v01064298_0, 0, 13;
    %ix/load 0, 1, 0;
    %assign/v0 v01064240_0, 0, 14;
    %ix/load 0, 1, 0;
    %assign/v0 v01064190_0, 0, 15;
T_24.35 ;
    %load/v 8, v010648F8_0, 1;
    %load/v 9, v01063ED0_0, 1;
    %or 8, 9, 1;
    %jmp/0xz  T_24.37, 8;
    %load/v 8, v010648F8_0, 1;
    %ix/load 0, 1, 0;
    %assign/v0 v01064190_0, 0, 8;
T_24.37 ;
    %load/v 8, v01064AB0_0, 1;
    %jmp/0xz  T_24.39, 8;
    %load/v 8, v01064450_0, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v01063C10_0, 0, 8;
T_24.39 ;
    %load/v 8, v01064348_0, 1;
    %jmp/0xz  T_24.41, 8;
    %load/v 8, v01064950_0, 1;
    %jmp/0xz  T_24.43, 8;
    %ix/load 0, 1, 0;
    %load/vp0 8, v01063C68_0, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v01063C68_0, 0, 8;
    %jmp T_24.44;
T_24.43 ;
    %ix/load 0, 1, 0;
    %load/vp0 8, v01063CC0_0, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v01063CC0_0, 0, 8;
T_24.44 ;
T_24.41 ;
    %load/v 8, v01063FD8_0, 1;
    %jmp/0xz  T_24.45, 8;
    %load/v 8, v01064950_0, 1;
    %jmp/0xz  T_24.47, 8;
    %load/v 8, v01063C68_0, 16;
    %subi 8, 1, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v01063C68_0, 0, 8;
    %jmp T_24.48;
T_24.47 ;
    %load/v 8, v01063CC0_0, 16;
    %subi 8, 1, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v01063CC0_0, 0, 8;
T_24.48 ;
T_24.45 ;
    %jmp T_24;
    .thread T_24;
    .scope S_00B18B20;
T_25 ;
    %movi 8, 65534, 16;
    %set/v v01063C10_0, 8, 16;
    %set/v v01063B08_0, 0, 8;
    %set/v v01063B60_0, 0, 16;
    %set/v v01063E78_0, 0, 1;
    %set/v v010649A8_0, 0, 1;
    %set/v v01064C68_0, 0, 1;
    %set/v v010644A8_0, 0, 1;
    %set/v v010643A0_0, 0, 1;
    %set/v v01064298_0, 0, 1;
    %set/v v01064240_0, 0, 1;
    %set/v v01064190_0, 0, 1;
    %movi 8, 42330, 16;
    %set/v v01063BB8_0, 8, 16;
    %movi 8, 3840, 16;
    %set/v v01063C68_0, 8, 16;
    %movi 8, 3584, 16;
    %set/v v01063CC0_0, 8, 16;
    %end;
    .thread T_25;
    .scope S_00B18BA8;
T_26 ;
    %wait E_00AEEB58;
    %set/v v01062798_0, 1, 4;
    %set/v v01063298_0, 1, 4;
    %set/v v010626E8_0, 1, 4;
    %set/v v01062740_0, 0, 2;
    %set/v v01063240_0, 0, 2;
    %set/v v01062690_0, 0, 2;
    %set/v v010627F0_0, 0, 3;
    %set/v v01061450_0, 0, 5;
    %set/v v01063348_0, 1, 1;
    %set/v v010633A0_0, 0, 1;
    %set/v v01062C68_0, 0, 1;
    %set/v v010628F8_0, 0, 1;
    %set/v v01062CC0_0, 0, 1;
    %set/v v01062BB8_0, 0, 1;
    %set/v v01062B60_0, 0, 1;
    %set/v v010628A0_0, 0, 1;
    %set/v v01062A58_0, 0, 1;
    %set/v v01062C10_0, 0, 1;
    %set/v v01062B08_0, 0, 1;
    %set/v v01062AB0_0, 0, 1;
    %set/v v01062A00_0, 0, 1;
    %set/v v01062950_0, 0, 1;
    %set/v v010629A8_0, 0, 1;
    %set/v v01062E20_0, 0, 1;
    %set/v v01062E78_0, 0, 1;
    %ix/load 1, 4, 0;
    %mov 4, 0, 1;
    %jmp/1 T_26.0, 4;
    %load/x1p 8, v01062D18_0, 4;
    %jmp T_26.1;
T_26.0 ;
    %mov 8, 2, 4;
T_26.1 ;
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 0, 4;
    %jmp/1 T_26.2, 6;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_26.3, 6;
    %cmpi/u 8, 2, 4;
    %jmp/1 T_26.4, 6;
    %cmpi/u 8, 3, 4;
    %jmp/1 T_26.5, 6;
    %cmpi/u 8, 4, 4;
    %jmp/1 T_26.6, 6;
    %cmpi/u 8, 5, 4;
    %jmp/1 T_26.7, 6;
    %cmpi/u 8, 6, 4;
    %jmp/1 T_26.8, 6;
    %cmpi/u 8, 7, 4;
    %jmp/1 T_26.9, 6;
    %cmpi/u 8, 8, 4;
    %jmp/1 T_26.10, 6;
    %cmpi/u 8, 9, 4;
    %jmp/1 T_26.11, 6;
    %cmpi/u 8, 10, 4;
    %jmp/1 T_26.12, 6;
    %cmpi/u 8, 11, 4;
    %jmp/1 T_26.13, 6;
    %cmpi/u 8, 12, 4;
    %jmp/1 T_26.14, 6;
    %cmpi/u 8, 13, 4;
    %jmp/1 T_26.15, 6;
    %cmpi/u 8, 14, 4;
    %jmp/1 T_26.16, 6;
    %cmpi/u 8, 15, 4;
    %jmp/1 T_26.17, 6;
    %jmp T_26.18;
T_26.2 ;
    %movi 8, 3, 3;
    %set/v v010627F0_0, 8, 3;
    %movi 8, 1, 2;
    %set/v v01062740_0, 8, 2;
    %movi 8, 1, 2;
    %set/v v01062690_0, 8, 2;
    %load/v 8, v01062D18_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 0, 4;
    %jmp/1 T_26.19, 6;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_26.20, 6;
    %cmpi/u 8, 2, 4;
    %jmp/1 T_26.21, 6;
    %cmpi/u 8, 3, 4;
    %jmp/1 T_26.22, 6;
    %cmpi/u 8, 4, 4;
    %jmp/1 T_26.23, 6;
    %cmpi/u 8, 5, 4;
    %jmp/1 T_26.24, 6;
    %cmpi/u 8, 6, 4;
    %jmp/1 T_26.25, 6;
    %cmpi/u 8, 7, 4;
    %jmp/1 T_26.26, 6;
    %cmpi/u 8, 8, 4;
    %jmp/1 T_26.27, 6;
    %cmpi/u 8, 9, 4;
    %jmp/1 T_26.28, 6;
    %cmpi/u 8, 10, 4;
    %jmp/1 T_26.29, 6;
    %cmpi/u 8, 11, 4;
    %jmp/1 T_26.30, 6;
    %cmpi/u 8, 12, 4;
    %jmp/1 T_26.31, 6;
    %cmpi/u 8, 13, 4;
    %jmp/1 T_26.32, 6;
    %cmpi/u 8, 14, 4;
    %jmp/1 T_26.33, 6;
    %cmpi/u 8, 15, 4;
    %jmp/1 T_26.34, 6;
    %jmp T_26.35;
T_26.19 ;
    %movi 8, 1, 2;
    %set/v v01062740_0, 8, 2;
    %movi 8, 1, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 21, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.35;
T_26.20 ;
    %jmp T_26.35;
T_26.21 ;
    %jmp T_26.35;
T_26.22 ;
    %movi 8, 1, 2;
    %set/v v01062740_0, 8, 2;
    %movi 8, 1, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 22, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.35;
T_26.23 ;
    %movi 8, 1, 2;
    %set/v v01062740_0, 8, 2;
    %movi 8, 1, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 16, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.35;
T_26.24 ;
    %jmp T_26.35;
T_26.25 ;
    %movi 8, 1, 2;
    %set/v v01062740_0, 8, 2;
    %movi 8, 1, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 18, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.35;
T_26.26 ;
    %movi 8, 1, 2;
    %set/v v01062740_0, 8, 2;
    %movi 8, 1, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 20, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.35;
T_26.27 ;
    %movi 8, 1, 2;
    %set/v v01062740_0, 8, 2;
    %movi 8, 1, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 17, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.35;
T_26.28 ;
    %movi 8, 1, 2;
    %set/v v01062740_0, 8, 2;
    %movi 8, 1, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 19, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.35;
T_26.29 ;
    %movi 8, 1, 2;
    %set/v v01062740_0, 8, 2;
    %movi 8, 1, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 25, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.35;
T_26.30 ;
    %jmp T_26.35;
T_26.31 ;
    %movi 8, 1, 2;
    %set/v v01062740_0, 8, 2;
    %movi 8, 1, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 24, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.35;
T_26.32 ;
    %movi 8, 1, 2;
    %set/v v01062740_0, 8, 2;
    %movi 8, 30, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.35;
T_26.33 ;
    %set/v v01062950_0, 1, 1;
    %jmp T_26.35;
T_26.34 ;
    %movi 8, 1, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 29, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.35;
T_26.35 ;
    %jmp T_26.18;
T_26.3 ;
    %load/v 8, v01062D18_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 0, 4;
    %jmp/1 T_26.36, 6;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_26.37, 6;
    %cmpi/u 8, 2, 4;
    %jmp/1 T_26.38, 6;
    %cmpi/u 8, 3, 4;
    %jmp/1 T_26.39, 6;
    %cmpi/u 8, 4, 4;
    %jmp/1 T_26.40, 6;
    %cmpi/u 8, 5, 4;
    %jmp/1 T_26.41, 6;
    %cmpi/u 8, 6, 4;
    %jmp/1 T_26.42, 6;
    %cmpi/u 8, 7, 4;
    %jmp/1 T_26.43, 6;
    %cmpi/u 8, 8, 4;
    %jmp/1 T_26.44, 6;
    %cmpi/u 8, 9, 4;
    %jmp/1 T_26.45, 6;
    %cmpi/u 8, 10, 4;
    %jmp/1 T_26.46, 6;
    %cmpi/u 8, 11, 4;
    %jmp/1 T_26.47, 6;
    %cmpi/u 8, 12, 4;
    %jmp/1 T_26.48, 6;
    %cmpi/u 8, 13, 4;
    %jmp/1 T_26.49, 6;
    %cmpi/u 8, 14, 4;
    %jmp/1 T_26.50, 6;
    %cmpi/u 8, 15, 4;
    %jmp/1 T_26.51, 6;
    %jmp T_26.52;
T_26.36 ;
    %set/v v01062E20_0, 1, 1;
    %jmp T_26.52;
T_26.37 ;
    %set/v v01062E78_0, 1, 1;
    %jmp T_26.52;
T_26.38 ;
    %jmp T_26.52;
T_26.39 ;
    %set/v v01062C68_0, 1, 1;
    %jmp T_26.52;
T_26.40 ;
    %jmp T_26.52;
T_26.41 ;
    %jmp T_26.52;
T_26.42 ;
    %set/v v010627F0_0, 1, 3;
    %jmp T_26.52;
T_26.43 ;
    %set/v v010627F0_0, 1, 3;
    %set/v v010629A8_0, 1, 1;
    %jmp T_26.52;
T_26.44 ;
    %jmp T_26.52;
T_26.45 ;
    %movi 8, 2, 3;
    %set/v v010627F0_0, 8, 3;
    %movi 8, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 26, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.52;
T_26.46 ;
    %movi 8, 1, 3;
    %set/v v010627F0_0, 8, 3;
    %movi 8, 10, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 10, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 6, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.52;
T_26.47 ;
    %jmp T_26.52;
T_26.48 ;
    %movi 8, 1, 3;
    %set/v v010627F0_0, 8, 3;
    %movi 8, 10, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 10, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 5, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.52;
T_26.49 ;
    %movi 8, 2, 3;
    %set/v v010627F0_0, 8, 3;
    %movi 8, 9, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 1, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.52;
T_26.50 ;
    %set/v v010628F8_0, 1, 1;
    %ix/load 1, 4, 0;
    %mov 4, 0, 1;
    %jmp/1 T_26.53, 4;
    %load/x1p 8, v01063190_0, 4;
    %jmp T_26.54;
T_26.53 ;
    %mov 8, 2, 4;
T_26.54 ;
; Save base=8 wid=4 in lookaside.
    %set/v v01062798_0, 8, 4;
    %load/v 8, v01063190_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
    %set/v v01063298_0, 8, 4;
    %load/v 8, v01063190_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
    %set/v v010626E8_0, 8, 4;
    %jmp T_26.52;
T_26.51 ;
    %set/v v01062CC0_0, 1, 1;
    %ix/load 1, 4, 0;
    %mov 4, 0, 1;
    %jmp/1 T_26.55, 4;
    %load/x1p 8, v01063190_0, 4;
    %jmp T_26.56;
T_26.55 ;
    %mov 8, 2, 4;
T_26.56 ;
; Save base=8 wid=4 in lookaside.
    %set/v v01062798_0, 8, 4;
    %load/v 8, v01063190_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
    %set/v v01063298_0, 8, 4;
    %load/v 8, v01063190_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
    %set/v v010626E8_0, 8, 4;
    %jmp T_26.52;
T_26.52 ;
    %jmp T_26.18;
T_26.4 ;
    %movi 8, 6, 3;
    %set/v v010627F0_0, 8, 3;
    %load/v 8, v01062D18_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 0, 4;
    %jmp/1 T_26.57, 6;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_26.58, 6;
    %cmpi/u 8, 2, 4;
    %jmp/1 T_26.59, 6;
    %cmpi/u 8, 3, 4;
    %jmp/1 T_26.60, 6;
    %cmpi/u 8, 4, 4;
    %jmp/1 T_26.61, 6;
    %cmpi/u 8, 5, 4;
    %jmp/1 T_26.62, 6;
    %cmpi/u 8, 6, 4;
    %jmp/1 T_26.63, 6;
    %cmpi/u 8, 7, 4;
    %jmp/1 T_26.64, 6;
    %cmpi/u 8, 8, 4;
    %jmp/1 T_26.65, 6;
    %cmpi/u 8, 9, 4;
    %jmp/1 T_26.66, 6;
    %cmpi/u 8, 10, 4;
    %jmp/1 T_26.67, 6;
    %cmpi/u 8, 11, 4;
    %jmp/1 T_26.68, 6;
    %cmpi/u 8, 12, 4;
    %jmp/1 T_26.69, 6;
    %cmpi/u 8, 13, 4;
    %jmp/1 T_26.70, 6;
    %cmpi/u 8, 14, 4;
    %jmp/1 T_26.71, 6;
    %cmpi/u 8, 15, 4;
    %jmp/1 T_26.72, 6;
    %jmp T_26.73;
T_26.57 ;
    %jmp T_26.73;
T_26.58 ;
    %jmp T_26.73;
T_26.59 ;
    %jmp T_26.73;
T_26.60 ;
    %jmp T_26.73;
T_26.61 ;
    %jmp T_26.73;
T_26.62 ;
    %jmp T_26.73;
T_26.63 ;
    %jmp T_26.73;
T_26.64 ;
    %jmp T_26.73;
T_26.65 ;
    %jmp T_26.73;
T_26.66 ;
    %jmp T_26.73;
T_26.67 ;
    %jmp T_26.73;
T_26.68 ;
    %jmp T_26.73;
T_26.69 ;
    %jmp T_26.73;
T_26.70 ;
    %jmp T_26.73;
T_26.71 ;
    %jmp T_26.73;
T_26.72 ;
    %jmp T_26.73;
T_26.73 ;
    %jmp T_26.18;
T_26.5 ;
    %load/v 8, v01062D18_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 0, 4;
    %jmp/1 T_26.74, 6;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_26.75, 6;
    %cmpi/u 8, 2, 4;
    %jmp/1 T_26.76, 6;
    %cmpi/u 8, 3, 4;
    %jmp/1 T_26.77, 6;
    %cmpi/u 8, 4, 4;
    %jmp/1 T_26.78, 6;
    %cmpi/u 8, 5, 4;
    %jmp/1 T_26.79, 6;
    %cmpi/u 8, 6, 4;
    %jmp/1 T_26.80, 6;
    %cmpi/u 8, 7, 4;
    %jmp/1 T_26.81, 6;
    %cmpi/u 8, 8, 4;
    %jmp/1 T_26.82, 6;
    %cmpi/u 8, 9, 4;
    %jmp/1 T_26.83, 6;
    %cmpi/u 8, 10, 4;
    %jmp/1 T_26.84, 6;
    %cmpi/u 8, 11, 4;
    %jmp/1 T_26.85, 6;
    %cmpi/u 8, 12, 4;
    %jmp/1 T_26.86, 6;
    %cmpi/u 8, 13, 4;
    %jmp/1 T_26.87, 6;
    %cmpi/u 8, 14, 4;
    %jmp/1 T_26.88, 6;
    %cmpi/u 8, 15, 4;
    %jmp/1 T_26.89, 6;
    %jmp T_26.90;
T_26.74 ;
    %movi 8, 4, 3;
    %set/v v010627F0_0, 8, 3;
    %set/v v01062A00_0, 1, 1;
    %movi 8, 1, 4;
    %set/v v010626E8_0, 8, 4;
    %jmp T_26.90;
T_26.75 ;
    %movi 8, 4, 3;
    %set/v v010627F0_0, 8, 3;
    %set/v v01062A00_0, 1, 1;
    %movi 8, 2, 4;
    %set/v v010626E8_0, 8, 4;
    %jmp T_26.90;
T_26.76 ;
    %movi 8, 4, 3;
    %set/v v010627F0_0, 8, 3;
    %set/v v01062A00_0, 1, 1;
    %movi 8, 4, 4;
    %set/v v010626E8_0, 8, 4;
    %jmp T_26.90;
T_26.77 ;
    %movi 8, 4, 3;
    %set/v v010627F0_0, 8, 3;
    %set/v v01062A00_0, 1, 1;
    %movi 8, 3, 4;
    %set/v v010626E8_0, 8, 4;
    %jmp T_26.90;
T_26.78 ;
    %set/v v01062B08_0, 1, 1;
    %jmp T_26.90;
T_26.79 ;
    %set/v v01062AB0_0, 1, 1;
    %jmp T_26.90;
T_26.80 ;
    %set/v v01062B08_0, 1, 1;
    %set/v v01063348_0, 0, 1;
    %jmp T_26.90;
T_26.81 ;
    %set/v v01062AB0_0, 1, 1;
    %set/v v01063348_0, 0, 1;
    %jmp T_26.90;
T_26.82 ;
    %jmp T_26.90;
T_26.83 ;
    %movi 8, 2, 3;
    %set/v v010627F0_0, 8, 3;
    %set/v v01062BB8_0, 1, 1;
    %jmp T_26.90;
T_26.84 ;
    %movi 8, 2, 3;
    %set/v v010627F0_0, 8, 3;
    %movi 8, 9, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 4;
    %set/v v01063298_0, 8, 4;
    %movi 8, 1, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.90;
T_26.85 ;
    %movi 8, 2, 3;
    %set/v v010627F0_0, 8, 3;
    %set/v v01062B60_0, 1, 1;
    %jmp T_26.90;
T_26.86 ;
    %set/v v010628A0_0, 1, 1;
    %jmp T_26.90;
T_26.87 ;
    %movi 8, 2, 3;
    %set/v v010627F0_0, 8, 3;
    %movi 8, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 9, 4;
    %set/v v01063298_0, 8, 4;
    %set/v v010626E8_0, 0, 4;
    %movi 8, 27, 5;
    %set/v v01061450_0, 8, 5;
    %set/v v01062A58_0, 1, 1;
    %jmp T_26.90;
T_26.88 ;
    %jmp T_26.90;
T_26.89 ;
    %set/v v01062C10_0, 1, 1;
    %jmp T_26.90;
T_26.90 ;
    %jmp T_26.18;
T_26.6 ;
    %movi 8, 2, 3;
    %set/v v010627F0_0, 8, 3;
    %load/v 8, v01062D18_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 0, 4;
    %jmp/1 T_26.91, 6;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_26.92, 6;
    %cmpi/u 8, 2, 4;
    %jmp/1 T_26.93, 6;
    %cmpi/u 8, 3, 4;
    %jmp/1 T_26.94, 6;
    %cmpi/u 8, 4, 4;
    %jmp/1 T_26.95, 6;
    %cmpi/u 8, 5, 4;
    %jmp/1 T_26.96, 6;
    %cmpi/u 8, 6, 4;
    %jmp/1 T_26.97, 6;
    %cmpi/u 8, 7, 4;
    %jmp/1 T_26.98, 6;
    %cmpi/u 8, 8, 4;
    %jmp/1 T_26.99, 6;
    %cmpi/u 8, 9, 4;
    %jmp/1 T_26.100, 6;
    %cmpi/u 8, 10, 4;
    %jmp/1 T_26.101, 6;
    %cmpi/u 8, 11, 4;
    %jmp/1 T_26.102, 6;
    %cmpi/u 8, 12, 4;
    %jmp/1 T_26.103, 6;
    %cmpi/u 8, 13, 4;
    %jmp/1 T_26.104, 6;
    %cmpi/u 8, 14, 4;
    %jmp/1 T_26.105, 6;
    %cmpi/u 8, 15, 4;
    %jmp/1 T_26.106, 6;
    %jmp T_26.107;
T_26.91 ;
    %movi 8, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 21, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.107;
T_26.92 ;
    %jmp T_26.107;
T_26.93 ;
    %jmp T_26.107;
T_26.94 ;
    %movi 8, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 22, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.107;
T_26.95 ;
    %movi 8, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 16, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.107;
T_26.96 ;
    %jmp T_26.107;
T_26.97 ;
    %movi 8, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 18, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.107;
T_26.98 ;
    %movi 8, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 20, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.107;
T_26.99 ;
    %movi 8, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 17, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.107;
T_26.100 ;
    %movi 8, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 19, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.107;
T_26.101 ;
    %movi 8, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 25, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.107;
T_26.102 ;
    %jmp T_26.107;
T_26.103 ;
    %movi 8, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 24, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.107;
T_26.104 ;
    %movi 8, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 30, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.107;
T_26.105 ;
    %jmp T_26.107;
T_26.106 ;
    %movi 8, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 29, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.107;
T_26.107 ;
    %jmp T_26.18;
T_26.7 ;
    %movi 8, 2, 3;
    %set/v v010627F0_0, 8, 3;
    %load/v 8, v01062D18_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 0, 4;
    %jmp/1 T_26.108, 6;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_26.109, 6;
    %cmpi/u 8, 2, 4;
    %jmp/1 T_26.110, 6;
    %cmpi/u 8, 3, 4;
    %jmp/1 T_26.111, 6;
    %cmpi/u 8, 4, 4;
    %jmp/1 T_26.112, 6;
    %cmpi/u 8, 5, 4;
    %jmp/1 T_26.113, 6;
    %cmpi/u 8, 6, 4;
    %jmp/1 T_26.114, 6;
    %cmpi/u 8, 7, 4;
    %jmp/1 T_26.115, 6;
    %cmpi/u 8, 8, 4;
    %jmp/1 T_26.116, 6;
    %cmpi/u 8, 9, 4;
    %jmp/1 T_26.117, 6;
    %cmpi/u 8, 10, 4;
    %jmp/1 T_26.118, 6;
    %cmpi/u 8, 11, 4;
    %jmp/1 T_26.119, 6;
    %cmpi/u 8, 12, 4;
    %jmp/1 T_26.120, 6;
    %cmpi/u 8, 13, 4;
    %jmp/1 T_26.121, 6;
    %cmpi/u 8, 14, 4;
    %jmp/1 T_26.122, 6;
    %cmpi/u 8, 15, 4;
    %jmp/1 T_26.123, 6;
    %jmp T_26.124;
T_26.108 ;
    %movi 8, 9, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 9, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 21, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.124;
T_26.109 ;
    %jmp T_26.124;
T_26.110 ;
    %jmp T_26.124;
T_26.111 ;
    %movi 8, 9, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 9, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 22, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.124;
T_26.112 ;
    %movi 8, 9, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 9, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 16, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.124;
T_26.113 ;
    %jmp T_26.124;
T_26.114 ;
    %movi 8, 9, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 9, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 18, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.124;
T_26.115 ;
    %movi 8, 9, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 9, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 20, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.124;
T_26.116 ;
    %movi 8, 9, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 9, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 17, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.124;
T_26.117 ;
    %movi 8, 9, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 9, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 19, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.124;
T_26.118 ;
    %movi 8, 9, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 9, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 25, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.124;
T_26.119 ;
    %jmp T_26.124;
T_26.120 ;
    %movi 8, 9, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 9, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 24, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.124;
T_26.121 ;
    %movi 8, 9, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 30, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.124;
T_26.122 ;
    %jmp T_26.124;
T_26.123 ;
    %movi 8, 9, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 29, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.124;
T_26.124 ;
    %jmp T_26.18;
T_26.8 ;
    %movi 8, 4, 3;
    %set/v v010627F0_0, 8, 3;
    %load/v 8, v01062D18_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 0, 4;
    %jmp/1 T_26.125, 6;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_26.126, 6;
    %cmpi/u 8, 2, 4;
    %jmp/1 T_26.127, 6;
    %cmpi/u 8, 3, 4;
    %jmp/1 T_26.128, 6;
    %cmpi/u 8, 4, 4;
    %jmp/1 T_26.129, 6;
    %cmpi/u 8, 5, 4;
    %jmp/1 T_26.130, 6;
    %cmpi/u 8, 6, 4;
    %jmp/1 T_26.131, 6;
    %cmpi/u 8, 7, 4;
    %jmp/1 T_26.132, 6;
    %cmpi/u 8, 8, 4;
    %jmp/1 T_26.133, 6;
    %cmpi/u 8, 9, 4;
    %jmp/1 T_26.134, 6;
    %cmpi/u 8, 10, 4;
    %jmp/1 T_26.135, 6;
    %cmpi/u 8, 11, 4;
    %jmp/1 T_26.136, 6;
    %cmpi/u 8, 12, 4;
    %jmp/1 T_26.137, 6;
    %cmpi/u 8, 13, 4;
    %jmp/1 T_26.138, 6;
    %cmpi/u 8, 14, 4;
    %jmp/1 T_26.139, 6;
    %cmpi/u 8, 15, 4;
    %jmp/1 T_26.140, 6;
    %jmp T_26.141;
T_26.125 ;
    %movi 8, 1, 2;
    %set/v v01062740_0, 8, 2;
    %movi 8, 1, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 21, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.141;
T_26.126 ;
    %jmp T_26.141;
T_26.127 ;
    %jmp T_26.141;
T_26.128 ;
    %movi 8, 1, 2;
    %set/v v01062740_0, 8, 2;
    %movi 8, 1, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 22, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.141;
T_26.129 ;
    %movi 8, 1, 2;
    %set/v v01062740_0, 8, 2;
    %movi 8, 1, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 16, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.141;
T_26.130 ;
    %jmp T_26.141;
T_26.131 ;
    %movi 8, 1, 2;
    %set/v v01062740_0, 8, 2;
    %movi 8, 1, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 18, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.141;
T_26.132 ;
    %movi 8, 1, 2;
    %set/v v01062740_0, 8, 2;
    %movi 8, 1, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 20, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.141;
T_26.133 ;
    %movi 8, 1, 2;
    %set/v v01062740_0, 8, 2;
    %movi 8, 1, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 17, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.141;
T_26.134 ;
    %movi 8, 1, 2;
    %set/v v01062740_0, 8, 2;
    %movi 8, 1, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 19, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.141;
T_26.135 ;
    %movi 8, 1, 2;
    %set/v v01062740_0, 8, 2;
    %movi 8, 1, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 25, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.141;
T_26.136 ;
    %jmp T_26.141;
T_26.137 ;
    %movi 8, 1, 2;
    %set/v v01062740_0, 8, 2;
    %movi 8, 1, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 24, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.141;
T_26.138 ;
    %movi 8, 1, 2;
    %set/v v01062740_0, 8, 2;
    %movi 8, 1, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 5, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.141;
T_26.139 ;
    %set/v v01062950_0, 1, 1;
    %jmp T_26.141;
T_26.140 ;
    %movi 8, 1, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 29, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.141;
T_26.141 ;
    %jmp T_26.18;
T_26.9 ;
    %movi 8, 5, 3;
    %set/v v010627F0_0, 8, 3;
    %load/v 8, v01062D18_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 0, 4;
    %jmp/1 T_26.142, 6;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_26.143, 6;
    %cmpi/u 8, 2, 4;
    %jmp/1 T_26.144, 6;
    %cmpi/u 8, 3, 4;
    %jmp/1 T_26.145, 6;
    %cmpi/u 8, 4, 4;
    %jmp/1 T_26.146, 6;
    %cmpi/u 8, 5, 4;
    %jmp/1 T_26.147, 6;
    %cmpi/u 8, 6, 4;
    %jmp/1 T_26.148, 6;
    %cmpi/u 8, 7, 4;
    %jmp/1 T_26.149, 6;
    %cmpi/u 8, 8, 4;
    %jmp/1 T_26.150, 6;
    %cmpi/u 8, 9, 4;
    %jmp/1 T_26.151, 6;
    %cmpi/u 8, 10, 4;
    %jmp/1 T_26.152, 6;
    %cmpi/u 8, 11, 4;
    %jmp/1 T_26.153, 6;
    %cmpi/u 8, 12, 4;
    %jmp/1 T_26.154, 6;
    %cmpi/u 8, 13, 4;
    %jmp/1 T_26.155, 6;
    %cmpi/u 8, 14, 4;
    %jmp/1 T_26.156, 6;
    %cmpi/u 8, 15, 4;
    %jmp/1 T_26.157, 6;
    %jmp T_26.158;
T_26.142 ;
    %movi 8, 1, 2;
    %set/v v01062740_0, 8, 2;
    %movi 8, 1, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 21, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.158;
T_26.143 ;
    %jmp T_26.158;
T_26.144 ;
    %jmp T_26.158;
T_26.145 ;
    %movi 8, 1, 2;
    %set/v v01062740_0, 8, 2;
    %movi 8, 1, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 22, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.158;
T_26.146 ;
    %movi 8, 1, 2;
    %set/v v01062740_0, 8, 2;
    %movi 8, 1, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 16, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.158;
T_26.147 ;
    %jmp T_26.158;
T_26.148 ;
    %movi 8, 1, 2;
    %set/v v01062740_0, 8, 2;
    %movi 8, 1, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 18, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.158;
T_26.149 ;
    %movi 8, 1, 2;
    %set/v v01062740_0, 8, 2;
    %movi 8, 1, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 20, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.158;
T_26.150 ;
    %movi 8, 1, 2;
    %set/v v01062740_0, 8, 2;
    %movi 8, 1, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 17, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.158;
T_26.151 ;
    %movi 8, 1, 2;
    %set/v v01062740_0, 8, 2;
    %movi 8, 1, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 19, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.158;
T_26.152 ;
    %movi 8, 1, 2;
    %set/v v01062740_0, 8, 2;
    %movi 8, 1, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 25, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.158;
T_26.153 ;
    %jmp T_26.158;
T_26.154 ;
    %movi 8, 1, 2;
    %set/v v01062740_0, 8, 2;
    %movi 8, 1, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 24, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.158;
T_26.155 ;
    %movi 8, 1, 2;
    %set/v v01062740_0, 8, 2;
    %movi 8, 1, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 5, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.158;
T_26.156 ;
    %set/v v01062950_0, 1, 1;
    %jmp T_26.158;
T_26.157 ;
    %movi 8, 1, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 29, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.158;
T_26.158 ;
    %jmp T_26.18;
T_26.10 ;
    %movi 8, 1, 3;
    %set/v v010627F0_0, 8, 3;
    %load/v 8, v01062D18_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 0, 4;
    %jmp/1 T_26.159, 6;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_26.160, 6;
    %cmpi/u 8, 2, 4;
    %jmp/1 T_26.161, 6;
    %cmpi/u 8, 3, 4;
    %jmp/1 T_26.162, 6;
    %cmpi/u 8, 4, 4;
    %jmp/1 T_26.163, 6;
    %cmpi/u 8, 5, 4;
    %jmp/1 T_26.164, 6;
    %cmpi/u 8, 6, 4;
    %jmp/1 T_26.165, 6;
    %cmpi/u 8, 7, 4;
    %jmp/1 T_26.166, 6;
    %cmpi/u 8, 8, 4;
    %jmp/1 T_26.167, 6;
    %cmpi/u 8, 9, 4;
    %jmp/1 T_26.168, 6;
    %cmpi/u 8, 10, 4;
    %jmp/1 T_26.169, 6;
    %cmpi/u 8, 11, 4;
    %jmp/1 T_26.170, 6;
    %cmpi/u 8, 12, 4;
    %jmp/1 T_26.171, 6;
    %cmpi/u 8, 13, 4;
    %jmp/1 T_26.172, 6;
    %cmpi/u 8, 14, 4;
    %jmp/1 T_26.173, 6;
    %cmpi/u 8, 15, 4;
    %jmp/1 T_26.174, 6;
    %jmp T_26.175;
T_26.159 ;
    %movi 8, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 9, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.175;
T_26.160 ;
    %movi 8, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 9, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.175;
T_26.161 ;
    %movi 8, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 11, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.175;
T_26.162 ;
    %set/v v01062798_0, 0, 4;
    %set/v v010626E8_0, 0, 4;
    %movi 8, 9, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.175;
T_26.163 ;
    %movi 8, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 5, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.175;
T_26.164 ;
    %movi 8, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 5, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.175;
T_26.165 ;
    %movi 8, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 4, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.175;
T_26.166 ;
    %jmp T_26.175;
T_26.167 ;
    %movi 8, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 7, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.175;
T_26.168 ;
    %movi 8, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 10, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.175;
T_26.169 ;
    %movi 8, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 6, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.175;
T_26.170 ;
    %movi 8, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.175;
T_26.171 ;
    %movi 8, 1, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 9, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.175;
T_26.172 ;
    %movi 8, 6, 3;
    %set/v v010627F0_0, 8, 3;
    %set/v v010629A8_0, 1, 1;
    %jmp T_26.175;
T_26.173 ;
    %movi 8, 1, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 4, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.175;
T_26.174 ;
    %jmp T_26.175;
T_26.175 ;
    %jmp T_26.18;
T_26.11 ;
    %movi 8, 3, 3;
    %set/v v010627F0_0, 8, 3;
    %load/v 8, v01062D18_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 0, 4;
    %jmp/1 T_26.176, 6;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_26.177, 6;
    %cmpi/u 8, 2, 4;
    %jmp/1 T_26.178, 6;
    %cmpi/u 8, 3, 4;
    %jmp/1 T_26.179, 6;
    %cmpi/u 8, 4, 4;
    %jmp/1 T_26.180, 6;
    %cmpi/u 8, 5, 4;
    %jmp/1 T_26.181, 6;
    %cmpi/u 8, 6, 4;
    %jmp/1 T_26.182, 6;
    %cmpi/u 8, 7, 4;
    %jmp/1 T_26.183, 6;
    %cmpi/u 8, 8, 4;
    %jmp/1 T_26.184, 6;
    %cmpi/u 8, 9, 4;
    %jmp/1 T_26.185, 6;
    %cmpi/u 8, 10, 4;
    %jmp/1 T_26.186, 6;
    %cmpi/u 8, 11, 4;
    %jmp/1 T_26.187, 6;
    %cmpi/u 8, 12, 4;
    %jmp/1 T_26.188, 6;
    %cmpi/u 8, 13, 4;
    %jmp/1 T_26.189, 6;
    %cmpi/u 8, 14, 4;
    %jmp/1 T_26.190, 6;
    %cmpi/u 8, 15, 4;
    %jmp/1 T_26.191, 6;
    %jmp T_26.192;
T_26.176 ;
    %movi 8, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 9, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.192;
T_26.177 ;
    %movi 8, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.192;
T_26.178 ;
    %movi 8, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 11, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.192;
T_26.179 ;
    %set/v v01062798_0, 0, 4;
    %movi 8, 1, 2;
    %set/v v01063240_0, 8, 2;
    %set/v v010626E8_0, 0, 4;
    %movi 8, 9, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.192;
T_26.180 ;
    %movi 8, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 5, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.192;
T_26.181 ;
    %movi 8, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 5, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.192;
T_26.182 ;
    %movi 8, 1, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 4, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.192;
T_26.183 ;
    %movi 8, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 2, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.192;
T_26.184 ;
    %movi 8, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 7, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.192;
T_26.185 ;
    %movi 8, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 10, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.192;
T_26.186 ;
    %movi 8, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 6, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.192;
T_26.187 ;
    %movi 8, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.192;
T_26.188 ;
    %movi 8, 1, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 2, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.192;
T_26.189 ;
    %set/v v010629A8_0, 1, 1;
    %jmp T_26.192;
T_26.190 ;
    %movi 8, 2, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 1, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 4, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.192;
T_26.191 ;
    %movi 8, 1, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 2, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 2, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.192;
T_26.192 ;
    %jmp T_26.18;
T_26.12 ;
    %movi 8, 4, 3;
    %set/v v010627F0_0, 8, 3;
    %load/v 8, v01062D18_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 0, 4;
    %jmp/1 T_26.193, 6;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_26.194, 6;
    %cmpi/u 8, 2, 4;
    %jmp/1 T_26.195, 6;
    %cmpi/u 8, 3, 4;
    %jmp/1 T_26.196, 6;
    %cmpi/u 8, 4, 4;
    %jmp/1 T_26.197, 6;
    %cmpi/u 8, 5, 4;
    %jmp/1 T_26.198, 6;
    %cmpi/u 8, 6, 4;
    %jmp/1 T_26.199, 6;
    %cmpi/u 8, 7, 4;
    %jmp/1 T_26.200, 6;
    %cmpi/u 8, 8, 4;
    %jmp/1 T_26.201, 6;
    %cmpi/u 8, 9, 4;
    %jmp/1 T_26.202, 6;
    %cmpi/u 8, 10, 4;
    %jmp/1 T_26.203, 6;
    %cmpi/u 8, 11, 4;
    %jmp/1 T_26.204, 6;
    %cmpi/u 8, 12, 4;
    %jmp/1 T_26.205, 6;
    %cmpi/u 8, 13, 4;
    %jmp/1 T_26.206, 6;
    %cmpi/u 8, 14, 4;
    %jmp/1 T_26.207, 6;
    %cmpi/u 8, 15, 4;
    %jmp/1 T_26.208, 6;
    %jmp T_26.209;
T_26.193 ;
    %movi 8, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 9, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.209;
T_26.194 ;
    %movi 8, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.209;
T_26.195 ;
    %movi 8, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 11, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.209;
T_26.196 ;
    %set/v v01062798_0, 0, 4;
    %movi 8, 1, 2;
    %set/v v01063240_0, 8, 2;
    %set/v v010626E8_0, 0, 4;
    %movi 8, 9, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.209;
T_26.197 ;
    %movi 8, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 5, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.209;
T_26.198 ;
    %movi 8, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 5, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.209;
T_26.199 ;
    %movi 8, 1, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 4, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.209;
T_26.200 ;
    %movi 8, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 2, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.209;
T_26.201 ;
    %movi 8, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 7, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.209;
T_26.202 ;
    %movi 8, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 10, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.209;
T_26.203 ;
    %movi 8, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 6, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.209;
T_26.204 ;
    %movi 8, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.209;
T_26.205 ;
    %movi 8, 1, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 2, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.209;
T_26.206 ;
    %set/v v010629A8_0, 1, 1;
    %jmp T_26.209;
T_26.207 ;
    %movi 8, 2, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 1, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 4, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.209;
T_26.208 ;
    %movi 8, 1, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 2, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 2, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.209;
T_26.209 ;
    %jmp T_26.18;
T_26.13 ;
    %movi 8, 5, 3;
    %set/v v010627F0_0, 8, 3;
    %load/v 8, v01062D18_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 0, 4;
    %jmp/1 T_26.210, 6;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_26.211, 6;
    %cmpi/u 8, 2, 4;
    %jmp/1 T_26.212, 6;
    %cmpi/u 8, 3, 4;
    %jmp/1 T_26.213, 6;
    %cmpi/u 8, 4, 4;
    %jmp/1 T_26.214, 6;
    %cmpi/u 8, 5, 4;
    %jmp/1 T_26.215, 6;
    %cmpi/u 8, 6, 4;
    %jmp/1 T_26.216, 6;
    %cmpi/u 8, 7, 4;
    %jmp/1 T_26.217, 6;
    %cmpi/u 8, 8, 4;
    %jmp/1 T_26.218, 6;
    %cmpi/u 8, 9, 4;
    %jmp/1 T_26.219, 6;
    %cmpi/u 8, 10, 4;
    %jmp/1 T_26.220, 6;
    %cmpi/u 8, 11, 4;
    %jmp/1 T_26.221, 6;
    %cmpi/u 8, 12, 4;
    %jmp/1 T_26.222, 6;
    %cmpi/u 8, 13, 4;
    %jmp/1 T_26.223, 6;
    %cmpi/u 8, 14, 4;
    %jmp/1 T_26.224, 6;
    %cmpi/u 8, 15, 4;
    %jmp/1 T_26.225, 6;
    %jmp T_26.226;
T_26.210 ;
    %movi 8, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 9, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.226;
T_26.211 ;
    %movi 8, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.226;
T_26.212 ;
    %movi 8, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 11, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.226;
T_26.213 ;
    %set/v v01062798_0, 0, 4;
    %movi 8, 1, 2;
    %set/v v01063240_0, 8, 2;
    %set/v v010626E8_0, 0, 4;
    %movi 8, 9, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.226;
T_26.214 ;
    %movi 8, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 5, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.226;
T_26.215 ;
    %movi 8, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 5, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.226;
T_26.216 ;
    %movi 8, 1, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 4, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.226;
T_26.217 ;
    %movi 8, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 2, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.226;
T_26.218 ;
    %movi 8, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 7, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.226;
T_26.219 ;
    %movi 8, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 10, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.226;
T_26.220 ;
    %movi 8, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 6, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.226;
T_26.221 ;
    %movi 8, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.226;
T_26.222 ;
    %movi 8, 1, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 2, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.226;
T_26.223 ;
    %set/v v010629A8_0, 1, 1;
    %jmp T_26.226;
T_26.224 ;
    %movi 8, 2, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 1, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 4, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.226;
T_26.225 ;
    %movi 8, 1, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 2, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 2, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.226;
T_26.226 ;
    %jmp T_26.18;
T_26.14 ;
    %movi 8, 1, 3;
    %set/v v010627F0_0, 8, 3;
    %load/v 8, v01062D18_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 0, 4;
    %jmp/1 T_26.227, 6;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_26.228, 6;
    %cmpi/u 8, 2, 4;
    %jmp/1 T_26.229, 6;
    %cmpi/u 8, 3, 4;
    %jmp/1 T_26.230, 6;
    %cmpi/u 8, 4, 4;
    %jmp/1 T_26.231, 6;
    %cmpi/u 8, 5, 4;
    %jmp/1 T_26.232, 6;
    %cmpi/u 8, 6, 4;
    %jmp/1 T_26.233, 6;
    %cmpi/u 8, 7, 4;
    %jmp/1 T_26.234, 6;
    %cmpi/u 8, 8, 4;
    %jmp/1 T_26.235, 6;
    %cmpi/u 8, 9, 4;
    %jmp/1 T_26.236, 6;
    %cmpi/u 8, 10, 4;
    %jmp/1 T_26.237, 6;
    %cmpi/u 8, 11, 4;
    %jmp/1 T_26.238, 6;
    %cmpi/u 8, 12, 4;
    %jmp/1 T_26.239, 6;
    %cmpi/u 8, 13, 4;
    %jmp/1 T_26.240, 6;
    %cmpi/u 8, 14, 4;
    %jmp/1 T_26.241, 6;
    %cmpi/u 8, 15, 4;
    %jmp/1 T_26.242, 6;
    %jmp T_26.243;
T_26.227 ;
    %movi 8, 9, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 9, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 9, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.243;
T_26.228 ;
    %movi 8, 9, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 9, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.243;
T_26.229 ;
    %movi 8, 9, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 9, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 11, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.243;
T_26.230 ;
    %set/v v010633A0_0, 1, 1;
    %set/v v01062798_0, 0, 4;
    %set/v v010626E8_0, 0, 4;
    %movi 8, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.243;
T_26.231 ;
    %movi 8, 9, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 9, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 5, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.243;
T_26.232 ;
    %movi 8, 9, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 9, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 5, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.243;
T_26.233 ;
    %movi 8, 9, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 9, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 4, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.243;
T_26.234 ;
    %jmp T_26.243;
T_26.235 ;
    %movi 8, 9, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 9, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 7, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.243;
T_26.236 ;
    %movi 8, 9, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 9, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 10, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.243;
T_26.237 ;
    %movi 8, 9, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 9, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 6, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.243;
T_26.238 ;
    %movi 8, 9, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 9, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.243;
T_26.239 ;
    %set/v v010633A0_0, 1, 1;
    %set/v v010626E8_0, 0, 4;
    %movi 8, 4, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.243;
T_26.240 ;
    %jmp T_26.243;
T_26.241 ;
    %set/v v010633A0_0, 1, 1;
    %movi 8, 3, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 4, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.243;
T_26.242 ;
    %jmp T_26.243;
T_26.243 ;
    %jmp T_26.18;
T_26.15 ;
    %movi 8, 3, 3;
    %set/v v010627F0_0, 8, 3;
    %load/v 8, v01062D18_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 0, 4;
    %jmp/1 T_26.244, 6;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_26.245, 6;
    %cmpi/u 8, 2, 4;
    %jmp/1 T_26.246, 6;
    %cmpi/u 8, 3, 4;
    %jmp/1 T_26.247, 6;
    %cmpi/u 8, 4, 4;
    %jmp/1 T_26.248, 6;
    %cmpi/u 8, 5, 4;
    %jmp/1 T_26.249, 6;
    %cmpi/u 8, 6, 4;
    %jmp/1 T_26.250, 6;
    %cmpi/u 8, 7, 4;
    %jmp/1 T_26.251, 6;
    %cmpi/u 8, 8, 4;
    %jmp/1 T_26.252, 6;
    %cmpi/u 8, 9, 4;
    %jmp/1 T_26.253, 6;
    %cmpi/u 8, 10, 4;
    %jmp/1 T_26.254, 6;
    %cmpi/u 8, 11, 4;
    %jmp/1 T_26.255, 6;
    %cmpi/u 8, 12, 4;
    %jmp/1 T_26.256, 6;
    %cmpi/u 8, 13, 4;
    %jmp/1 T_26.257, 6;
    %cmpi/u 8, 14, 4;
    %jmp/1 T_26.258, 6;
    %cmpi/u 8, 15, 4;
    %jmp/1 T_26.259, 6;
    %jmp T_26.260;
T_26.244 ;
    %movi 8, 9, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 9, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.260;
T_26.245 ;
    %movi 8, 9, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.260;
T_26.246 ;
    %movi 8, 9, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 11, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.260;
T_26.247 ;
    %set/v v01062798_0, 0, 4;
    %movi 8, 1, 2;
    %set/v v01063240_0, 8, 2;
    %set/v v010626E8_0, 0, 4;
    %movi 8, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.260;
T_26.248 ;
    %movi 8, 9, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 5, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.260;
T_26.249 ;
    %movi 8, 9, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 5, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.260;
T_26.250 ;
    %movi 8, 1, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 4, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.260;
T_26.251 ;
    %movi 8, 9, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 2, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.260;
T_26.252 ;
    %movi 8, 9, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 7, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.260;
T_26.253 ;
    %movi 8, 9, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 10, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.260;
T_26.254 ;
    %movi 8, 9, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 6, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.260;
T_26.255 ;
    %movi 8, 9, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.260;
T_26.256 ;
    %movi 8, 2, 2;
    %set/v v01063240_0, 8, 2;
    %set/v v010626E8_0, 0, 4;
    %movi 8, 4, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.260;
T_26.257 ;
    %set/v v01062798_0, 0, 4;
    %movi 8, 2, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 2, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.260;
T_26.258 ;
    %movi 8, 2, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 3, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 4, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.260;
T_26.259 ;
    %movi 8, 3, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 2, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 2, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.260;
T_26.260 ;
    %jmp T_26.18;
T_26.16 ;
    %movi 8, 4, 3;
    %set/v v010627F0_0, 8, 3;
    %load/v 8, v01062D18_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 0, 4;
    %jmp/1 T_26.261, 6;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_26.262, 6;
    %cmpi/u 8, 2, 4;
    %jmp/1 T_26.263, 6;
    %cmpi/u 8, 3, 4;
    %jmp/1 T_26.264, 6;
    %cmpi/u 8, 4, 4;
    %jmp/1 T_26.265, 6;
    %cmpi/u 8, 5, 4;
    %jmp/1 T_26.266, 6;
    %cmpi/u 8, 6, 4;
    %jmp/1 T_26.267, 6;
    %cmpi/u 8, 7, 4;
    %jmp/1 T_26.268, 6;
    %cmpi/u 8, 8, 4;
    %jmp/1 T_26.269, 6;
    %cmpi/u 8, 9, 4;
    %jmp/1 T_26.270, 6;
    %cmpi/u 8, 10, 4;
    %jmp/1 T_26.271, 6;
    %cmpi/u 8, 11, 4;
    %jmp/1 T_26.272, 6;
    %cmpi/u 8, 12, 4;
    %jmp/1 T_26.273, 6;
    %cmpi/u 8, 13, 4;
    %jmp/1 T_26.274, 6;
    %cmpi/u 8, 14, 4;
    %jmp/1 T_26.275, 6;
    %cmpi/u 8, 15, 4;
    %jmp/1 T_26.276, 6;
    %jmp T_26.277;
T_26.261 ;
    %movi 8, 9, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 9, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.277;
T_26.262 ;
    %movi 8, 9, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.277;
T_26.263 ;
    %movi 8, 9, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 11, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.277;
T_26.264 ;
    %set/v v01062798_0, 0, 4;
    %movi 8, 1, 2;
    %set/v v01063240_0, 8, 2;
    %set/v v010626E8_0, 0, 4;
    %movi 8, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.277;
T_26.265 ;
    %movi 8, 9, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 5, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.277;
T_26.266 ;
    %movi 8, 9, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 5, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.277;
T_26.267 ;
    %movi 8, 1, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 4, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.277;
T_26.268 ;
    %movi 8, 9, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 2, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.277;
T_26.269 ;
    %movi 8, 9, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 7, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.277;
T_26.270 ;
    %movi 8, 9, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 10, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.277;
T_26.271 ;
    %movi 8, 9, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 6, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.277;
T_26.272 ;
    %movi 8, 9, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.277;
T_26.273 ;
    %movi 8, 2, 2;
    %set/v v01063240_0, 8, 2;
    %set/v v010626E8_0, 0, 4;
    %movi 8, 4, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.277;
T_26.274 ;
    %set/v v01062798_0, 0, 4;
    %movi 8, 2, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 2, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.277;
T_26.275 ;
    %movi 8, 2, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 3, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 4, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.277;
T_26.276 ;
    %movi 8, 3, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 2, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 2, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.277;
T_26.277 ;
    %jmp T_26.18;
T_26.17 ;
    %movi 8, 5, 3;
    %set/v v010627F0_0, 8, 3;
    %load/v 8, v01062D18_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 0, 4;
    %jmp/1 T_26.278, 6;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_26.279, 6;
    %cmpi/u 8, 2, 4;
    %jmp/1 T_26.280, 6;
    %cmpi/u 8, 3, 4;
    %jmp/1 T_26.281, 6;
    %cmpi/u 8, 4, 4;
    %jmp/1 T_26.282, 6;
    %cmpi/u 8, 5, 4;
    %jmp/1 T_26.283, 6;
    %cmpi/u 8, 6, 4;
    %jmp/1 T_26.284, 6;
    %cmpi/u 8, 7, 4;
    %jmp/1 T_26.285, 6;
    %cmpi/u 8, 8, 4;
    %jmp/1 T_26.286, 6;
    %cmpi/u 8, 9, 4;
    %jmp/1 T_26.287, 6;
    %cmpi/u 8, 10, 4;
    %jmp/1 T_26.288, 6;
    %cmpi/u 8, 11, 4;
    %jmp/1 T_26.289, 6;
    %cmpi/u 8, 12, 4;
    %jmp/1 T_26.290, 6;
    %cmpi/u 8, 13, 4;
    %jmp/1 T_26.291, 6;
    %cmpi/u 8, 14, 4;
    %jmp/1 T_26.292, 6;
    %cmpi/u 8, 15, 4;
    %jmp/1 T_26.293, 6;
    %jmp T_26.294;
T_26.278 ;
    %movi 8, 9, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 9, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.294;
T_26.279 ;
    %movi 8, 9, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.294;
T_26.280 ;
    %movi 8, 9, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 11, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.294;
T_26.281 ;
    %set/v v01062798_0, 0, 4;
    %movi 8, 1, 2;
    %set/v v01063240_0, 8, 2;
    %set/v v010626E8_0, 0, 4;
    %movi 8, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.294;
T_26.282 ;
    %movi 8, 9, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 5, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.294;
T_26.283 ;
    %movi 8, 9, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 5, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.294;
T_26.284 ;
    %movi 8, 1, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 4, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.294;
T_26.285 ;
    %movi 8, 9, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 2, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.294;
T_26.286 ;
    %movi 8, 9, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 7, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.294;
T_26.287 ;
    %movi 8, 9, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 10, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.294;
T_26.288 ;
    %movi 8, 9, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 6, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.294;
T_26.289 ;
    %movi 8, 9, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.294;
T_26.290 ;
    %movi 8, 2, 2;
    %set/v v01063240_0, 8, 2;
    %set/v v010626E8_0, 0, 4;
    %movi 8, 4, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.294;
T_26.291 ;
    %set/v v01062798_0, 0, 4;
    %movi 8, 2, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 2, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.294;
T_26.292 ;
    %movi 8, 2, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 3, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 4, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.294;
T_26.293 ;
    %movi 8, 3, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 2, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 2, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.294;
T_26.294 ;
    %jmp T_26.18;
T_26.18 ;
    %load/v 8, v01062E20_0, 1;
    %jmp/0xz  T_26.295, 8;
    %ix/load 1, 4, 0;
    %mov 4, 0, 1;
    %jmp/1 T_26.297, 4;
    %load/x1p 8, v01063190_0, 4;
    %jmp T_26.298;
T_26.297 ;
    %mov 8, 2, 4;
T_26.298 ;
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 0, 4;
    %jmp/1 T_26.299, 6;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_26.300, 6;
    %cmpi/u 8, 4, 4;
    %jmp/1 T_26.301, 6;
    %cmpi/u 8, 5, 4;
    %jmp/1 T_26.302, 6;
    %cmpi/u 8, 6, 4;
    %jmp/1 T_26.303, 6;
    %cmpi/u 8, 7, 4;
    %jmp/1 T_26.304, 6;
    %cmpi/u 8, 2, 4;
    %jmp/1 T_26.305, 6;
    %cmpi/u 8, 3, 4;
    %jmp/1 T_26.306, 6;
    %cmpi/u 8, 8, 4;
    %jmp/1 T_26.307, 6;
    %cmpi/u 8, 9, 4;
    %jmp/1 T_26.308, 6;
    %cmpi/u 8, 10, 4;
    %jmp/1 T_26.309, 6;
    %cmpi/u 8, 11, 4;
    %jmp/1 T_26.310, 6;
    %cmpi/u 8, 12, 4;
    %jmp/1 T_26.311, 6;
    %cmpi/u 8, 13, 4;
    %jmp/1 T_26.312, 6;
    %cmpi/u 8, 14, 4;
    %jmp/1 T_26.313, 6;
    %cmpi/u 8, 15, 4;
    %jmp/1 T_26.314, 6;
    %jmp T_26.315;
T_26.299 ;
    %jmp T_26.315;
T_26.300 ;
    %jmp T_26.315;
T_26.301 ;
    %jmp T_26.315;
T_26.302 ;
    %jmp T_26.315;
T_26.303 ;
    %jmp T_26.315;
T_26.304 ;
    %jmp T_26.315;
T_26.305 ;
    %set/v v010627F0_0, 1, 3;
    %jmp T_26.315;
T_26.306 ;
    %load/v 8, v01063190_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 15, 4;
    %jmp/0xz  T_26.316, 4;
    %set/v v01062C10_0, 1, 1;
T_26.316 ;
    %jmp T_26.315;
T_26.307 ;
    %load/v 8, v01063190_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 0, 4;
    %jmp/1 T_26.318, 6;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_26.319, 6;
    %cmpi/u 8, 2, 4;
    %jmp/1 T_26.320, 6;
    %cmpi/u 8, 3, 4;
    %jmp/1 T_26.321, 6;
    %cmpi/u 8, 4, 4;
    %jmp/1 T_26.322, 6;
    %cmpi/u 8, 5, 4;
    %jmp/1 T_26.323, 6;
    %cmpi/u 8, 6, 4;
    %jmp/1 T_26.324, 6;
    %cmpi/u 8, 7, 4;
    %jmp/1 T_26.325, 6;
    %cmpi/u 8, 8, 4;
    %jmp/1 T_26.326, 6;
    %cmpi/u 8, 9, 4;
    %jmp/1 T_26.327, 6;
    %cmpi/u 8, 10, 4;
    %jmp/1 T_26.328, 6;
    %cmpi/u 8, 11, 4;
    %jmp/1 T_26.329, 6;
    %cmpi/u 8, 12, 4;
    %jmp/1 T_26.330, 6;
    %cmpi/u 8, 13, 4;
    %jmp/1 T_26.331, 6;
    %cmpi/u 8, 14, 4;
    %jmp/1 T_26.332, 6;
    %cmpi/u 8, 15, 4;
    %jmp/1 T_26.333, 6;
    %jmp T_26.334;
T_26.318 ;
    %jmp T_26.334;
T_26.319 ;
    %jmp T_26.334;
T_26.320 ;
    %jmp T_26.334;
T_26.321 ;
    %movi 8, 1, 3;
    %set/v v010627F0_0, 8, 3;
    %set/v v010633A0_0, 1, 1;
    %set/v v01062798_0, 0, 4;
    %movi 8, 9, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.334;
T_26.322 ;
    %jmp T_26.334;
T_26.323 ;
    %jmp T_26.334;
T_26.324 ;
    %jmp T_26.334;
T_26.325 ;
    %jmp T_26.334;
T_26.326 ;
    %jmp T_26.334;
T_26.327 ;
    %jmp T_26.334;
T_26.328 ;
    %jmp T_26.334;
T_26.329 ;
    %jmp T_26.334;
T_26.330 ;
    %movi 8, 1, 3;
    %set/v v010627F0_0, 8, 3;
    %set/v v010633A0_0, 1, 1;
    %movi 8, 2, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 9, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.334;
T_26.331 ;
    %jmp T_26.334;
T_26.332 ;
    %movi 8, 1, 3;
    %set/v v010627F0_0, 8, 3;
    %set/v v010633A0_0, 1, 1;
    %movi 8, 2, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 4, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.334;
T_26.333 ;
    %jmp T_26.334;
T_26.334 ;
    %jmp T_26.315;
T_26.308 ;
    %load/v 8, v01063190_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 0, 4;
    %jmp/1 T_26.335, 6;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_26.336, 6;
    %cmpi/u 8, 2, 4;
    %jmp/1 T_26.337, 6;
    %cmpi/u 8, 3, 4;
    %jmp/1 T_26.338, 6;
    %cmpi/u 8, 4, 4;
    %jmp/1 T_26.339, 6;
    %cmpi/u 8, 5, 4;
    %jmp/1 T_26.340, 6;
    %cmpi/u 8, 6, 4;
    %jmp/1 T_26.341, 6;
    %cmpi/u 8, 7, 4;
    %jmp/1 T_26.342, 6;
    %cmpi/u 8, 8, 4;
    %jmp/1 T_26.343, 6;
    %cmpi/u 8, 9, 4;
    %jmp/1 T_26.344, 6;
    %cmpi/u 8, 10, 4;
    %jmp/1 T_26.345, 6;
    %cmpi/u 8, 11, 4;
    %jmp/1 T_26.346, 6;
    %cmpi/u 8, 12, 4;
    %jmp/1 T_26.347, 6;
    %cmpi/u 8, 13, 4;
    %jmp/1 T_26.348, 6;
    %cmpi/u 8, 14, 4;
    %jmp/1 T_26.349, 6;
    %cmpi/u 8, 15, 4;
    %jmp/1 T_26.350, 6;
    %jmp T_26.351;
T_26.335 ;
    %jmp T_26.351;
T_26.336 ;
    %jmp T_26.351;
T_26.337 ;
    %jmp T_26.351;
T_26.338 ;
    %movi 8, 3, 3;
    %set/v v010627F0_0, 8, 3;
    %set/v v01062798_0, 0, 4;
    %movi 8, 2, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.351;
T_26.339 ;
    %jmp T_26.351;
T_26.340 ;
    %jmp T_26.351;
T_26.341 ;
    %jmp T_26.351;
T_26.342 ;
    %jmp T_26.351;
T_26.343 ;
    %jmp T_26.351;
T_26.344 ;
    %jmp T_26.351;
T_26.345 ;
    %jmp T_26.351;
T_26.346 ;
    %jmp T_26.351;
T_26.347 ;
    %movi 8, 3, 3;
    %set/v v010627F0_0, 8, 3;
    %movi 8, 2, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 2, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.351;
T_26.348 ;
    %jmp T_26.351;
T_26.349 ;
    %movi 8, 3, 3;
    %set/v v010627F0_0, 8, 3;
    %movi 8, 2, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 2, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 4, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.351;
T_26.350 ;
    %movi 8, 3, 3;
    %set/v v010627F0_0, 8, 3;
    %movi 8, 2, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 2, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 2, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.351;
T_26.351 ;
    %jmp T_26.315;
T_26.309 ;
    %load/v 8, v01063190_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 0, 4;
    %jmp/1 T_26.352, 6;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_26.353, 6;
    %cmpi/u 8, 2, 4;
    %jmp/1 T_26.354, 6;
    %cmpi/u 8, 3, 4;
    %jmp/1 T_26.355, 6;
    %cmpi/u 8, 4, 4;
    %jmp/1 T_26.356, 6;
    %cmpi/u 8, 5, 4;
    %jmp/1 T_26.357, 6;
    %cmpi/u 8, 6, 4;
    %jmp/1 T_26.358, 6;
    %cmpi/u 8, 7, 4;
    %jmp/1 T_26.359, 6;
    %cmpi/u 8, 8, 4;
    %jmp/1 T_26.360, 6;
    %cmpi/u 8, 9, 4;
    %jmp/1 T_26.361, 6;
    %cmpi/u 8, 10, 4;
    %jmp/1 T_26.362, 6;
    %cmpi/u 8, 11, 4;
    %jmp/1 T_26.363, 6;
    %cmpi/u 8, 12, 4;
    %jmp/1 T_26.364, 6;
    %cmpi/u 8, 13, 4;
    %jmp/1 T_26.365, 6;
    %cmpi/u 8, 14, 4;
    %jmp/1 T_26.366, 6;
    %cmpi/u 8, 15, 4;
    %jmp/1 T_26.367, 6;
    %jmp T_26.368;
T_26.352 ;
    %jmp T_26.368;
T_26.353 ;
    %jmp T_26.368;
T_26.354 ;
    %jmp T_26.368;
T_26.355 ;
    %movi 8, 4, 3;
    %set/v v010627F0_0, 8, 3;
    %set/v v01062798_0, 0, 4;
    %movi 8, 2, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.368;
T_26.356 ;
    %jmp T_26.368;
T_26.357 ;
    %jmp T_26.368;
T_26.358 ;
    %jmp T_26.368;
T_26.359 ;
    %jmp T_26.368;
T_26.360 ;
    %jmp T_26.368;
T_26.361 ;
    %jmp T_26.368;
T_26.362 ;
    %jmp T_26.368;
T_26.363 ;
    %jmp T_26.368;
T_26.364 ;
    %movi 8, 4, 3;
    %set/v v010627F0_0, 8, 3;
    %movi 8, 2, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 2, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.368;
T_26.365 ;
    %jmp T_26.368;
T_26.366 ;
    %movi 8, 4, 3;
    %set/v v010627F0_0, 8, 3;
    %movi 8, 2, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 2, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 4, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.368;
T_26.367 ;
    %movi 8, 4, 3;
    %set/v v010627F0_0, 8, 3;
    %movi 8, 2, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 2, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 2, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.368;
T_26.368 ;
    %jmp T_26.315;
T_26.310 ;
    %load/v 8, v01063190_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 0, 4;
    %jmp/1 T_26.369, 6;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_26.370, 6;
    %cmpi/u 8, 2, 4;
    %jmp/1 T_26.371, 6;
    %cmpi/u 8, 3, 4;
    %jmp/1 T_26.372, 6;
    %cmpi/u 8, 4, 4;
    %jmp/1 T_26.373, 6;
    %cmpi/u 8, 5, 4;
    %jmp/1 T_26.374, 6;
    %cmpi/u 8, 6, 4;
    %jmp/1 T_26.375, 6;
    %cmpi/u 8, 7, 4;
    %jmp/1 T_26.376, 6;
    %cmpi/u 8, 8, 4;
    %jmp/1 T_26.377, 6;
    %cmpi/u 8, 9, 4;
    %jmp/1 T_26.378, 6;
    %cmpi/u 8, 10, 4;
    %jmp/1 T_26.379, 6;
    %cmpi/u 8, 11, 4;
    %jmp/1 T_26.380, 6;
    %cmpi/u 8, 12, 4;
    %jmp/1 T_26.381, 6;
    %cmpi/u 8, 13, 4;
    %jmp/1 T_26.382, 6;
    %cmpi/u 8, 14, 4;
    %jmp/1 T_26.383, 6;
    %cmpi/u 8, 15, 4;
    %jmp/1 T_26.384, 6;
    %jmp T_26.385;
T_26.369 ;
    %jmp T_26.385;
T_26.370 ;
    %jmp T_26.385;
T_26.371 ;
    %jmp T_26.385;
T_26.372 ;
    %movi 8, 5, 3;
    %set/v v010627F0_0, 8, 3;
    %set/v v01062798_0, 0, 4;
    %movi 8, 2, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.385;
T_26.373 ;
    %jmp T_26.385;
T_26.374 ;
    %jmp T_26.385;
T_26.375 ;
    %jmp T_26.385;
T_26.376 ;
    %jmp T_26.385;
T_26.377 ;
    %jmp T_26.385;
T_26.378 ;
    %jmp T_26.385;
T_26.379 ;
    %jmp T_26.385;
T_26.380 ;
    %jmp T_26.385;
T_26.381 ;
    %movi 8, 5, 3;
    %set/v v010627F0_0, 8, 3;
    %movi 8, 2, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 2, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.385;
T_26.382 ;
    %jmp T_26.385;
T_26.383 ;
    %movi 8, 5, 3;
    %set/v v010627F0_0, 8, 3;
    %movi 8, 2, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 2, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 4, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.385;
T_26.384 ;
    %movi 8, 5, 3;
    %set/v v010627F0_0, 8, 3;
    %movi 8, 2, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 2, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 2, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.385;
T_26.385 ;
    %jmp T_26.315;
T_26.311 ;
    %load/v 8, v01063190_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 0, 4;
    %jmp/1 T_26.386, 6;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_26.387, 6;
    %cmpi/u 8, 2, 4;
    %jmp/1 T_26.388, 6;
    %cmpi/u 8, 3, 4;
    %jmp/1 T_26.389, 6;
    %cmpi/u 8, 4, 4;
    %jmp/1 T_26.390, 6;
    %cmpi/u 8, 5, 4;
    %jmp/1 T_26.391, 6;
    %cmpi/u 8, 6, 4;
    %jmp/1 T_26.392, 6;
    %cmpi/u 8, 7, 4;
    %jmp/1 T_26.393, 6;
    %cmpi/u 8, 8, 4;
    %jmp/1 T_26.394, 6;
    %cmpi/u 8, 9, 4;
    %jmp/1 T_26.395, 6;
    %cmpi/u 8, 10, 4;
    %jmp/1 T_26.396, 6;
    %cmpi/u 8, 11, 4;
    %jmp/1 T_26.397, 6;
    %cmpi/u 8, 12, 4;
    %jmp/1 T_26.398, 6;
    %cmpi/u 8, 13, 4;
    %jmp/1 T_26.399, 6;
    %cmpi/u 8, 14, 4;
    %jmp/1 T_26.400, 6;
    %cmpi/u 8, 15, 4;
    %jmp/1 T_26.401, 6;
    %jmp T_26.402;
T_26.386 ;
    %jmp T_26.402;
T_26.387 ;
    %jmp T_26.402;
T_26.388 ;
    %jmp T_26.402;
T_26.389 ;
    %jmp T_26.402;
T_26.390 ;
    %jmp T_26.402;
T_26.391 ;
    %jmp T_26.402;
T_26.392 ;
    %jmp T_26.402;
T_26.393 ;
    %jmp T_26.402;
T_26.394 ;
    %jmp T_26.402;
T_26.395 ;
    %jmp T_26.402;
T_26.396 ;
    %jmp T_26.402;
T_26.397 ;
    %jmp T_26.402;
T_26.398 ;
    %jmp T_26.402;
T_26.399 ;
    %jmp T_26.402;
T_26.400 ;
    %movi 8, 1, 3;
    %set/v v010627F0_0, 8, 3;
    %set/v v010633A0_0, 1, 1;
    %movi 8, 4, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 4, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.402;
T_26.401 ;
    %jmp T_26.402;
T_26.402 ;
    %jmp T_26.315;
T_26.312 ;
    %load/v 8, v01063190_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 0, 4;
    %jmp/1 T_26.403, 6;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_26.404, 6;
    %cmpi/u 8, 2, 4;
    %jmp/1 T_26.405, 6;
    %cmpi/u 8, 3, 4;
    %jmp/1 T_26.406, 6;
    %cmpi/u 8, 4, 4;
    %jmp/1 T_26.407, 6;
    %cmpi/u 8, 5, 4;
    %jmp/1 T_26.408, 6;
    %cmpi/u 8, 6, 4;
    %jmp/1 T_26.409, 6;
    %cmpi/u 8, 7, 4;
    %jmp/1 T_26.410, 6;
    %cmpi/u 8, 8, 4;
    %jmp/1 T_26.411, 6;
    %cmpi/u 8, 9, 4;
    %jmp/1 T_26.412, 6;
    %cmpi/u 8, 10, 4;
    %jmp/1 T_26.413, 6;
    %cmpi/u 8, 11, 4;
    %jmp/1 T_26.414, 6;
    %cmpi/u 8, 12, 4;
    %jmp/1 T_26.415, 6;
    %cmpi/u 8, 13, 4;
    %jmp/1 T_26.416, 6;
    %cmpi/u 8, 14, 4;
    %jmp/1 T_26.417, 6;
    %cmpi/u 8, 15, 4;
    %jmp/1 T_26.418, 6;
    %jmp T_26.419;
T_26.403 ;
    %jmp T_26.419;
T_26.404 ;
    %jmp T_26.419;
T_26.405 ;
    %jmp T_26.419;
T_26.406 ;
    %jmp T_26.419;
T_26.407 ;
    %jmp T_26.419;
T_26.408 ;
    %jmp T_26.419;
T_26.409 ;
    %jmp T_26.419;
T_26.410 ;
    %jmp T_26.419;
T_26.411 ;
    %jmp T_26.419;
T_26.412 ;
    %jmp T_26.419;
T_26.413 ;
    %jmp T_26.419;
T_26.414 ;
    %jmp T_26.419;
T_26.415 ;
    %jmp T_26.419;
T_26.416 ;
    %jmp T_26.419;
T_26.417 ;
    %movi 8, 3, 3;
    %set/v v010627F0_0, 8, 3;
    %movi 8, 2, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 4, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 4, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.419;
T_26.418 ;
    %movi 8, 3, 3;
    %set/v v010627F0_0, 8, 3;
    %movi 8, 4, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 2, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 2, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.419;
T_26.419 ;
    %jmp T_26.315;
T_26.313 ;
    %load/v 8, v01063190_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 0, 4;
    %jmp/1 T_26.420, 6;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_26.421, 6;
    %cmpi/u 8, 2, 4;
    %jmp/1 T_26.422, 6;
    %cmpi/u 8, 3, 4;
    %jmp/1 T_26.423, 6;
    %cmpi/u 8, 4, 4;
    %jmp/1 T_26.424, 6;
    %cmpi/u 8, 5, 4;
    %jmp/1 T_26.425, 6;
    %cmpi/u 8, 6, 4;
    %jmp/1 T_26.426, 6;
    %cmpi/u 8, 7, 4;
    %jmp/1 T_26.427, 6;
    %cmpi/u 8, 8, 4;
    %jmp/1 T_26.428, 6;
    %cmpi/u 8, 9, 4;
    %jmp/1 T_26.429, 6;
    %cmpi/u 8, 10, 4;
    %jmp/1 T_26.430, 6;
    %cmpi/u 8, 11, 4;
    %jmp/1 T_26.431, 6;
    %cmpi/u 8, 12, 4;
    %jmp/1 T_26.432, 6;
    %cmpi/u 8, 13, 4;
    %jmp/1 T_26.433, 6;
    %cmpi/u 8, 14, 4;
    %jmp/1 T_26.434, 6;
    %cmpi/u 8, 15, 4;
    %jmp/1 T_26.435, 6;
    %jmp T_26.436;
T_26.420 ;
    %jmp T_26.436;
T_26.421 ;
    %jmp T_26.436;
T_26.422 ;
    %jmp T_26.436;
T_26.423 ;
    %jmp T_26.436;
T_26.424 ;
    %jmp T_26.436;
T_26.425 ;
    %jmp T_26.436;
T_26.426 ;
    %jmp T_26.436;
T_26.427 ;
    %jmp T_26.436;
T_26.428 ;
    %jmp T_26.436;
T_26.429 ;
    %jmp T_26.436;
T_26.430 ;
    %jmp T_26.436;
T_26.431 ;
    %jmp T_26.436;
T_26.432 ;
    %jmp T_26.436;
T_26.433 ;
    %jmp T_26.436;
T_26.434 ;
    %movi 8, 4, 3;
    %set/v v010627F0_0, 8, 3;
    %movi 8, 2, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 4, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 4, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.436;
T_26.435 ;
    %movi 8, 4, 3;
    %set/v v010627F0_0, 8, 3;
    %movi 8, 4, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 2, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 2, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.436;
T_26.436 ;
    %jmp T_26.315;
T_26.314 ;
    %load/v 8, v01063190_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 0, 4;
    %jmp/1 T_26.437, 6;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_26.438, 6;
    %cmpi/u 8, 2, 4;
    %jmp/1 T_26.439, 6;
    %cmpi/u 8, 3, 4;
    %jmp/1 T_26.440, 6;
    %cmpi/u 8, 4, 4;
    %jmp/1 T_26.441, 6;
    %cmpi/u 8, 5, 4;
    %jmp/1 T_26.442, 6;
    %cmpi/u 8, 6, 4;
    %jmp/1 T_26.443, 6;
    %cmpi/u 8, 7, 4;
    %jmp/1 T_26.444, 6;
    %cmpi/u 8, 8, 4;
    %jmp/1 T_26.445, 6;
    %cmpi/u 8, 9, 4;
    %jmp/1 T_26.446, 6;
    %cmpi/u 8, 10, 4;
    %jmp/1 T_26.447, 6;
    %cmpi/u 8, 11, 4;
    %jmp/1 T_26.448, 6;
    %cmpi/u 8, 12, 4;
    %jmp/1 T_26.449, 6;
    %cmpi/u 8, 13, 4;
    %jmp/1 T_26.450, 6;
    %cmpi/u 8, 14, 4;
    %jmp/1 T_26.451, 6;
    %cmpi/u 8, 15, 4;
    %jmp/1 T_26.452, 6;
    %jmp T_26.453;
T_26.437 ;
    %jmp T_26.453;
T_26.438 ;
    %jmp T_26.453;
T_26.439 ;
    %jmp T_26.453;
T_26.440 ;
    %jmp T_26.453;
T_26.441 ;
    %jmp T_26.453;
T_26.442 ;
    %jmp T_26.453;
T_26.443 ;
    %jmp T_26.453;
T_26.444 ;
    %jmp T_26.453;
T_26.445 ;
    %jmp T_26.453;
T_26.446 ;
    %jmp T_26.453;
T_26.447 ;
    %jmp T_26.453;
T_26.448 ;
    %jmp T_26.453;
T_26.449 ;
    %jmp T_26.453;
T_26.450 ;
    %jmp T_26.453;
T_26.451 ;
    %movi 8, 5, 3;
    %set/v v010627F0_0, 8, 3;
    %movi 8, 2, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 4, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 4, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.453;
T_26.452 ;
    %movi 8, 5, 3;
    %set/v v010627F0_0, 8, 3;
    %movi 8, 4, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 2, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 2, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.453;
T_26.453 ;
    %jmp T_26.315;
T_26.315 ;
T_26.295 ;
    %load/v 8, v01062E78_0, 1;
    %jmp/0xz  T_26.454, 8;
    %ix/load 1, 4, 0;
    %mov 4, 0, 1;
    %jmp/1 T_26.456, 4;
    %load/x1p 8, v01063190_0, 4;
    %jmp T_26.457;
T_26.456 ;
    %mov 8, 2, 4;
T_26.457 ;
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 0, 4;
    %jmp/1 T_26.458, 6;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_26.459, 6;
    %cmpi/u 8, 4, 4;
    %jmp/1 T_26.460, 6;
    %cmpi/u 8, 5, 4;
    %jmp/1 T_26.461, 6;
    %cmpi/u 8, 6, 4;
    %jmp/1 T_26.462, 6;
    %cmpi/u 8, 7, 4;
    %jmp/1 T_26.463, 6;
    %cmpi/u 8, 12, 4;
    %jmp/1 T_26.464, 6;
    %cmpi/u 8, 13, 4;
    %jmp/1 T_26.465, 6;
    %cmpi/u 8, 14, 4;
    %jmp/1 T_26.466, 6;
    %cmpi/u 8, 15, 4;
    %jmp/1 T_26.467, 6;
    %cmpi/u 8, 3, 4;
    %jmp/1 T_26.468, 6;
    %cmpi/u 8, 8, 4;
    %jmp/1 T_26.469, 6;
    %cmpi/u 8, 9, 4;
    %jmp/1 T_26.470, 6;
    %cmpi/u 8, 10, 4;
    %jmp/1 T_26.471, 6;
    %cmpi/u 8, 11, 4;
    %jmp/1 T_26.472, 6;
    %jmp T_26.473;
T_26.458 ;
    %jmp T_26.473;
T_26.459 ;
    %jmp T_26.473;
T_26.460 ;
    %jmp T_26.473;
T_26.461 ;
    %jmp T_26.473;
T_26.462 ;
    %jmp T_26.473;
T_26.463 ;
    %jmp T_26.473;
T_26.464 ;
    %jmp T_26.473;
T_26.465 ;
    %jmp T_26.473;
T_26.466 ;
    %jmp T_26.473;
T_26.467 ;
    %jmp T_26.473;
T_26.468 ;
    %load/v 8, v01063190_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 15, 4;
    %jmp/0xz  T_26.474, 4;
    %set/v v01062C10_0, 1, 1;
T_26.474 ;
    %jmp T_26.473;
T_26.469 ;
    %load/v 8, v01063190_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 0, 4;
    %jmp/1 T_26.476, 6;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_26.477, 6;
    %cmpi/u 8, 2, 4;
    %jmp/1 T_26.478, 6;
    %cmpi/u 8, 3, 4;
    %jmp/1 T_26.479, 6;
    %cmpi/u 8, 4, 4;
    %jmp/1 T_26.480, 6;
    %cmpi/u 8, 5, 4;
    %jmp/1 T_26.481, 6;
    %cmpi/u 8, 6, 4;
    %jmp/1 T_26.482, 6;
    %cmpi/u 8, 7, 4;
    %jmp/1 T_26.483, 6;
    %cmpi/u 8, 8, 4;
    %jmp/1 T_26.484, 6;
    %cmpi/u 8, 9, 4;
    %jmp/1 T_26.485, 6;
    %cmpi/u 8, 10, 4;
    %jmp/1 T_26.486, 6;
    %cmpi/u 8, 11, 4;
    %jmp/1 T_26.487, 6;
    %cmpi/u 8, 12, 4;
    %jmp/1 T_26.488, 6;
    %cmpi/u 8, 13, 4;
    %jmp/1 T_26.489, 6;
    %cmpi/u 8, 14, 4;
    %jmp/1 T_26.490, 6;
    %cmpi/u 8, 15, 4;
    %jmp/1 T_26.491, 6;
    %jmp T_26.492;
T_26.476 ;
    %jmp T_26.492;
T_26.477 ;
    %jmp T_26.492;
T_26.478 ;
    %jmp T_26.492;
T_26.479 ;
    %movi 8, 1, 3;
    %set/v v010627F0_0, 8, 3;
    %set/v v010633A0_0, 1, 1;
    %movi 8, 3, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 9, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.492;
T_26.480 ;
    %jmp T_26.492;
T_26.481 ;
    %jmp T_26.492;
T_26.482 ;
    %jmp T_26.492;
T_26.483 ;
    %jmp T_26.492;
T_26.484 ;
    %jmp T_26.492;
T_26.485 ;
    %jmp T_26.492;
T_26.486 ;
    %jmp T_26.492;
T_26.487 ;
    %jmp T_26.492;
T_26.488 ;
    %movi 8, 1, 3;
    %set/v v010627F0_0, 8, 3;
    %set/v v010633A0_0, 1, 1;
    %movi 8, 4, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 9, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.492;
T_26.489 ;
    %jmp T_26.492;
T_26.490 ;
    %jmp T_26.492;
T_26.491 ;
    %jmp T_26.492;
T_26.492 ;
    %jmp T_26.473;
T_26.470 ;
    %load/v 8, v01063190_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 0, 4;
    %jmp/1 T_26.493, 6;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_26.494, 6;
    %cmpi/u 8, 2, 4;
    %jmp/1 T_26.495, 6;
    %cmpi/u 8, 3, 4;
    %jmp/1 T_26.496, 6;
    %cmpi/u 8, 4, 4;
    %jmp/1 T_26.497, 6;
    %cmpi/u 8, 5, 4;
    %jmp/1 T_26.498, 6;
    %cmpi/u 8, 6, 4;
    %jmp/1 T_26.499, 6;
    %cmpi/u 8, 7, 4;
    %jmp/1 T_26.500, 6;
    %cmpi/u 8, 8, 4;
    %jmp/1 T_26.501, 6;
    %cmpi/u 8, 9, 4;
    %jmp/1 T_26.502, 6;
    %cmpi/u 8, 10, 4;
    %jmp/1 T_26.503, 6;
    %cmpi/u 8, 11, 4;
    %jmp/1 T_26.504, 6;
    %cmpi/u 8, 12, 4;
    %jmp/1 T_26.505, 6;
    %cmpi/u 8, 13, 4;
    %jmp/1 T_26.506, 6;
    %cmpi/u 8, 14, 4;
    %jmp/1 T_26.507, 6;
    %cmpi/u 8, 15, 4;
    %jmp/1 T_26.508, 6;
    %jmp T_26.509;
T_26.493 ;
    %jmp T_26.509;
T_26.494 ;
    %jmp T_26.509;
T_26.495 ;
    %jmp T_26.509;
T_26.496 ;
    %movi 8, 3, 3;
    %set/v v010627F0_0, 8, 3;
    %movi 8, 3, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 2, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.509;
T_26.497 ;
    %jmp T_26.509;
T_26.498 ;
    %jmp T_26.509;
T_26.499 ;
    %jmp T_26.509;
T_26.500 ;
    %jmp T_26.509;
T_26.501 ;
    %jmp T_26.509;
T_26.502 ;
    %jmp T_26.509;
T_26.503 ;
    %jmp T_26.509;
T_26.504 ;
    %jmp T_26.509;
T_26.505 ;
    %movi 8, 3, 3;
    %set/v v010627F0_0, 8, 3;
    %movi 8, 4, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 2, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.509;
T_26.506 ;
    %jmp T_26.509;
T_26.507 ;
    %jmp T_26.509;
T_26.508 ;
    %jmp T_26.509;
T_26.509 ;
    %jmp T_26.473;
T_26.471 ;
    %load/v 8, v01063190_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 0, 4;
    %jmp/1 T_26.510, 6;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_26.511, 6;
    %cmpi/u 8, 2, 4;
    %jmp/1 T_26.512, 6;
    %cmpi/u 8, 3, 4;
    %jmp/1 T_26.513, 6;
    %cmpi/u 8, 4, 4;
    %jmp/1 T_26.514, 6;
    %cmpi/u 8, 5, 4;
    %jmp/1 T_26.515, 6;
    %cmpi/u 8, 6, 4;
    %jmp/1 T_26.516, 6;
    %cmpi/u 8, 7, 4;
    %jmp/1 T_26.517, 6;
    %cmpi/u 8, 8, 4;
    %jmp/1 T_26.518, 6;
    %cmpi/u 8, 9, 4;
    %jmp/1 T_26.519, 6;
    %cmpi/u 8, 10, 4;
    %jmp/1 T_26.520, 6;
    %cmpi/u 8, 11, 4;
    %jmp/1 T_26.521, 6;
    %cmpi/u 8, 12, 4;
    %jmp/1 T_26.522, 6;
    %cmpi/u 8, 13, 4;
    %jmp/1 T_26.523, 6;
    %cmpi/u 8, 14, 4;
    %jmp/1 T_26.524, 6;
    %cmpi/u 8, 15, 4;
    %jmp/1 T_26.525, 6;
    %jmp T_26.526;
T_26.510 ;
    %jmp T_26.526;
T_26.511 ;
    %jmp T_26.526;
T_26.512 ;
    %jmp T_26.526;
T_26.513 ;
    %movi 8, 4, 3;
    %set/v v010627F0_0, 8, 3;
    %movi 8, 3, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 2, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.526;
T_26.514 ;
    %jmp T_26.526;
T_26.515 ;
    %jmp T_26.526;
T_26.516 ;
    %jmp T_26.526;
T_26.517 ;
    %jmp T_26.526;
T_26.518 ;
    %jmp T_26.526;
T_26.519 ;
    %jmp T_26.526;
T_26.520 ;
    %jmp T_26.526;
T_26.521 ;
    %jmp T_26.526;
T_26.522 ;
    %movi 8, 4, 3;
    %set/v v010627F0_0, 8, 3;
    %movi 8, 4, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 2, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.526;
T_26.523 ;
    %jmp T_26.526;
T_26.524 ;
    %jmp T_26.526;
T_26.525 ;
    %jmp T_26.526;
T_26.526 ;
    %jmp T_26.473;
T_26.472 ;
    %load/v 8, v01063190_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 0, 4;
    %jmp/1 T_26.527, 6;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_26.528, 6;
    %cmpi/u 8, 2, 4;
    %jmp/1 T_26.529, 6;
    %cmpi/u 8, 3, 4;
    %jmp/1 T_26.530, 6;
    %cmpi/u 8, 4, 4;
    %jmp/1 T_26.531, 6;
    %cmpi/u 8, 5, 4;
    %jmp/1 T_26.532, 6;
    %cmpi/u 8, 6, 4;
    %jmp/1 T_26.533, 6;
    %cmpi/u 8, 7, 4;
    %jmp/1 T_26.534, 6;
    %cmpi/u 8, 8, 4;
    %jmp/1 T_26.535, 6;
    %cmpi/u 8, 9, 4;
    %jmp/1 T_26.536, 6;
    %cmpi/u 8, 10, 4;
    %jmp/1 T_26.537, 6;
    %cmpi/u 8, 11, 4;
    %jmp/1 T_26.538, 6;
    %cmpi/u 8, 12, 4;
    %jmp/1 T_26.539, 6;
    %cmpi/u 8, 13, 4;
    %jmp/1 T_26.540, 6;
    %cmpi/u 8, 14, 4;
    %jmp/1 T_26.541, 6;
    %cmpi/u 8, 15, 4;
    %jmp/1 T_26.542, 6;
    %jmp T_26.543;
T_26.527 ;
    %jmp T_26.543;
T_26.528 ;
    %jmp T_26.543;
T_26.529 ;
    %jmp T_26.543;
T_26.530 ;
    %movi 8, 5, 3;
    %set/v v010627F0_0, 8, 3;
    %movi 8, 3, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 2, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.543;
T_26.531 ;
    %jmp T_26.543;
T_26.532 ;
    %jmp T_26.543;
T_26.533 ;
    %jmp T_26.543;
T_26.534 ;
    %jmp T_26.543;
T_26.535 ;
    %jmp T_26.543;
T_26.536 ;
    %jmp T_26.543;
T_26.537 ;
    %jmp T_26.543;
T_26.538 ;
    %jmp T_26.543;
T_26.539 ;
    %movi 8, 5, 3;
    %set/v v010627F0_0, 8, 3;
    %movi 8, 4, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 2, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.543;
T_26.540 ;
    %jmp T_26.543;
T_26.541 ;
    %jmp T_26.543;
T_26.542 ;
    %jmp T_26.543;
T_26.543 ;
    %jmp T_26.473;
T_26.473 ;
T_26.454 ;
    %jmp T_26;
    .thread T_26, $push;
    .scope S_00B18BA8;
T_27 ;
    %wait E_00AEEA58;
    %load/v 8, v01063298_0, 4;
    %ix/load 0, 4, 0;
    %assign/v0 v01063088_0, 0, 8;
    %load/v 8, v01062798_0, 4;
    %ix/load 0, 4, 0;
    %assign/v0 v01062F80_0, 0, 8;
    %load/v 8, v010626E8_0, 4;
    %ix/load 0, 4, 0;
    %assign/v0 v01062638_0, 0, 8;
    %load/v 8, v01063240_0, 2;
    %ix/load 0, 2, 0;
    %assign/v0 v010630E0_0, 0, 8;
    %load/v 8, v01062740_0, 2;
    %ix/load 0, 2, 0;
    %assign/v0 v01062FD8_0, 0, 8;
    %load/v 8, v01062690_0, 2;
    %ix/load 0, 2, 0;
    %assign/v0 v01062588_0, 0, 8;
    %jmp T_27;
    .thread T_27;
    .scope S_00B18C30;
T_28 ;
    %wait E_00AEEEF8;
    %movi 8, 5, 4;
    %set/v v010606E8_0, 8, 4;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %jmp/1 T_28.0, 4;
    %load/x1p 8, v01060798_0, 1;
    %jmp T_28.1;
T_28.0 ;
    %mov 8, 2, 1;
T_28.1 ;
; Save base=8 wid=1 in lookaside.
    %ix/load 1, 3, 0;
    %mov 4, 0, 1;
    %jmp/1 T_28.2, 4;
    %load/x1p 9, v01060798_0, 1;
    %jmp T_28.3;
T_28.2 ;
    %mov 9, 2, 1;
T_28.3 ;
; Save base=9 wid=1 in lookaside.
    %and 8, 9, 1;
    %ix/load 1, 2, 0;
    %mov 4, 0, 1;
    %jmp/1 T_28.4, 4;
    %load/x1p 9, v01060798_0, 1;
    %jmp T_28.5;
T_28.4 ;
    %mov 9, 2, 1;
T_28.5 ;
; Save base=9 wid=1 in lookaside.
    %and 8, 9, 1;
    %ix/load 1, 1, 0;
    %mov 4, 0, 1;
    %jmp/1 T_28.6, 4;
    %load/x1p 9, v01060798_0, 1;
    %jmp T_28.7;
T_28.6 ;
    %mov 9, 2, 1;
T_28.7 ;
; Save base=9 wid=1 in lookaside.
    %inv 9, 1;
    %and 8, 9, 1;
    %jmp/0xz  T_28.8, 8;
    %movi 8, 5, 4;
    %set/v v010606E8_0, 8, 4;
    %jmp T_28.9;
T_28.8 ;
    %load/v 8, v01060798_0, 8;
    %mov 16, 2, 5;
    %movi 21, 0, 2;
    %mov 23, 2, 1;
    %cmp/x 8, 16, 8;
    %jmp/1 T_28.10, 4;
    %mov 24, 2, 5;
    %movi 29, 1, 2;
    %mov 31, 2, 1;
    %cmp/x 8, 24, 8;
    %jmp/1 T_28.11, 4;
    %mov 32, 2, 5;
    %movi 37, 2, 2;
    %mov 39, 2, 1;
    %cmp/x 8, 32, 8;
    %jmp/1 T_28.12, 4;
    %mov 40, 2, 5;
    %movi 45, 3, 2;
    %mov 47, 2, 1;
    %cmp/x 8, 40, 8;
    %jmp/1 T_28.13, 4;
    %jmp T_28.14;
T_28.10 ;
    %movi 8, 1, 4;
    %set/v v010606E8_0, 8, 4;
    %jmp T_28.14;
T_28.11 ;
    %movi 8, 2, 4;
    %set/v v010606E8_0, 8, 4;
    %jmp T_28.14;
T_28.12 ;
    %movi 8, 3, 4;
    %set/v v010606E8_0, 8, 4;
    %jmp T_28.14;
T_28.13 ;
    %movi 8, 4, 4;
    %set/v v010606E8_0, 8, 4;
    %jmp T_28.14;
T_28.14 ;
T_28.9 ;
    %jmp T_28;
    .thread T_28, $push;
    .scope S_00B18C30;
T_29 ;
    %wait E_00AEEEF8;
    %set/v v010605E0_0, 0, 1;
    %set/v v01060638_0, 0, 1;
    %set/v v01060588_0, 0, 1;
    %set/v v01060690_0, 0, 1;
    %movi 8, 8, 4;
    %set/v v01060740_0, 8, 4;
    %load/v 8, v01060798_0, 8;
    %mov 16, 2, 4;
    %movi 20, 0, 1;
    %mov 21, 2, 2;
    %movi 23, 0, 1;
    %cmp/x 8, 16, 8;
    %jmp/1 T_29.0, 4;
    %mov 24, 2, 4;
    %movi 28, 1, 1;
    %mov 29, 2, 2;
    %movi 31, 0, 1;
    %cmp/x 8, 24, 8;
    %jmp/1 T_29.1, 4;
    %movi 32, 0, 4;
    %mov 36, 2, 3;
    %movi 39, 1, 1;
    %cmp/x 8, 32, 8;
    %jmp/1 T_29.2, 4;
    %movi 40, 1, 4;
    %mov 44, 2, 3;
    %movi 47, 1, 1;
    %cmp/x 8, 40, 8;
    %jmp/1 T_29.3, 4;
    %movi 48, 4, 4;
    %mov 52, 2, 3;
    %movi 55, 1, 1;
    %cmp/x 8, 48, 8;
    %jmp/1 T_29.4, 4;
    %movi 56, 2, 4;
    %mov 60, 2, 3;
    %movi 63, 1, 1;
    %cmp/x 8, 56, 8;
    %jmp/1 T_29.5, 4;
    %movi 64, 3, 4;
    %mov 68, 2, 3;
    %movi 71, 1, 1;
    %cmp/x 8, 64, 8;
    %jmp/1 T_29.6, 4;
    %movi 72, 5, 4;
    %mov 76, 2, 3;
    %movi 79, 1, 1;
    %cmp/x 8, 72, 8;
    %jmp/1 T_29.7, 4;
    %movi 80, 6, 4;
    %mov 84, 2, 3;
    %movi 87, 1, 1;
    %cmp/x 8, 80, 8;
    %jmp/1 T_29.8, 4;
    %movi 88, 11, 4;
    %mov 92, 2, 3;
    %movi 95, 1, 1;
    %cmp/x 8, 88, 8;
    %jmp/1 T_29.9, 4;
    %movi 96, 8, 4;
    %mov 100, 2, 3;
    %movi 103, 1, 1;
    %cmp/x 8, 96, 8;
    %jmp/1 T_29.10, 4;
    %movi 104, 9, 4;
    %mov 108, 2, 3;
    %movi 111, 1, 1;
    %cmp/x 8, 104, 8;
    %jmp/1 T_29.11, 4;
    %movi 112, 12, 4;
    %mov 116, 2, 3;
    %movi 119, 1, 1;
    %cmp/x 8, 112, 8;
    %jmp/1 T_29.12, 4;
    %movi 120, 13, 4;
    %mov 124, 2, 3;
    %movi 127, 1, 1;
    %cmp/x 8, 120, 8;
    %jmp/1 T_29.13, 4;
    %jmp T_29.14;
T_29.0 ;
    %set/v v010605E0_0, 1, 1;
    %jmp T_29.14;
T_29.1 ;
    %set/v v010605E0_0, 1, 1;
    %jmp T_29.14;
T_29.2 ;
    %set/v v01060690_0, 1, 1;
    %jmp T_29.14;
T_29.3 ;
    %set/v v01060690_0, 1, 1;
    %jmp T_29.14;
T_29.4 ;
    %jmp T_29.14;
T_29.5 ;
    %set/v v01060690_0, 1, 1;
    %jmp T_29.14;
T_29.6 ;
    %set/v v01060690_0, 1, 1;
    %jmp T_29.14;
T_29.7 ;
    %movi 8, 9, 4;
    %set/v v01060740_0, 8, 4;
    %jmp T_29.14;
T_29.8 ;
    %movi 8, 8, 4;
    %set/v v01060740_0, 8, 4;
    %jmp T_29.14;
T_29.9 ;
    %set/v v01060740_0, 0, 4;
    %jmp T_29.14;
T_29.10 ;
    %set/v v01060638_0, 1, 1;
    %jmp T_29.14;
T_29.11 ;
    %set/v v01060588_0, 1, 1;
    %jmp T_29.14;
T_29.12 ;
    %set/v v01060638_0, 1, 1;
    %jmp T_29.14;
T_29.13 ;
    %set/v v01060588_0, 1, 1;
    %jmp T_29.14;
T_29.14 ;
    %jmp T_29;
    .thread T_29, $push;
    .scope S_00B18CB8;
T_30 ;
    %wait E_00AEEED8;
    %set/v v00B12D50_0, 0, 1;
    %load/v 8, v00B12E00_0, 8;
    %cmpi/u 8, 22, 8;
    %mov 8, 4, 1;
    %load/v 9, v00B12E00_0, 8;
    %cmpi/u 9, 23, 8;
    %or 8, 4, 1;
    %load/v 9, v00B12E00_0, 8;
    %cmpi/u 9, 141, 8;
    %or 8, 4, 1;
    %load/v 9, v00B12E00_0, 8;
    %cmpi/u 9, 14, 8;
    %or 8, 4, 1;
    %load/v 9, v00B12E00_0, 8;
    %cmpi/u 9, 110, 8;
    %or 8, 4, 1;
    %load/v 9, v00B12E00_0, 8;
    %cmpi/u 9, 126, 8;
    %or 8, 4, 1;
    %jmp/0xz  T_30.0, 8;
    %set/v v00B12D50_0, 1, 1;
T_30.0 ;
    %ix/load 1, 4, 0;
    %mov 4, 0, 1;
    %jmp/1 T_30.2, 4;
    %load/x1p 8, v00B12DA8_0, 4;
    %jmp T_30.3;
T_30.2 ;
    %mov 8, 2, 4;
T_30.3 ;
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 2, 4;
    %jmp/0xz  T_30.4, 4;
    %load/v 8, v00B12DA8_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 0, 4;
    %jmp/1 T_30.6, 6;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_30.7, 6;
    %cmpi/u 8, 2, 4;
    %jmp/1 T_30.8, 6;
    %cmpi/u 8, 3, 4;
    %jmp/1 T_30.9, 6;
    %cmpi/u 8, 4, 4;
    %jmp/1 T_30.10, 6;
    %cmpi/u 8, 5, 4;
    %jmp/1 T_30.11, 6;
    %cmpi/u 8, 6, 4;
    %jmp/1 T_30.12, 6;
    %cmpi/u 8, 7, 4;
    %jmp/1 T_30.13, 6;
    %cmpi/u 8, 8, 4;
    %jmp/1 T_30.14, 6;
    %cmpi/u 8, 9, 4;
    %jmp/1 T_30.15, 6;
    %cmpi/u 8, 10, 4;
    %jmp/1 T_30.16, 6;
    %cmpi/u 8, 11, 4;
    %jmp/1 T_30.17, 6;
    %cmpi/u 8, 12, 4;
    %jmp/1 T_30.18, 6;
    %cmpi/u 8, 13, 4;
    %jmp/1 T_30.19, 6;
    %cmpi/u 8, 14, 4;
    %jmp/1 T_30.20, 6;
    %cmpi/u 8, 15, 4;
    %jmp/1 T_30.21, 6;
    %jmp T_30.22;
T_30.6 ;
    %set/v v00B12D50_0, 1, 1;
    %jmp T_30.22;
T_30.7 ;
    %set/v v00B12D50_0, 0, 1;
    %jmp T_30.22;
T_30.8 ;
    %load/v 8, v00B12CF8_0, 1; Only need 1 of 8 bits
; Save base=8 wid=1 in lookaside.
    %ix/load 1, 2, 0;
    %mov 4, 0, 1;
    %jmp/1 T_30.23, 4;
    %load/x1p 9, v00B12CF8_0, 1;
    %jmp T_30.24;
T_30.23 ;
    %mov 9, 2, 1;
T_30.24 ;
; Save base=9 wid=1 in lookaside.
    %and 8, 9, 1;
    %inv 8, 1;
    %set/v v00B12D50_0, 8, 1;
    %jmp T_30.22;
T_30.9 ;
    %load/v 8, v00B12CF8_0, 1; Only need 1 of 8 bits
; Save base=8 wid=1 in lookaside.
    %ix/load 1, 2, 0;
    %mov 4, 0, 1;
    %jmp/1 T_30.25, 4;
    %load/x1p 9, v00B12CF8_0, 1;
    %jmp T_30.26;
T_30.25 ;
    %mov 9, 2, 1;
T_30.26 ;
; Save base=9 wid=1 in lookaside.
    %or 8, 9, 1;
    %set/v v00B12D50_0, 8, 1;
    %jmp T_30.22;
T_30.10 ;
    %load/v 8, v00B12CF8_0, 1; Only need 1 of 8 bits
; Save base=8 wid=1 in lookaside.
    %inv 8, 1;
    %set/v v00B12D50_0, 8, 1;
    %jmp T_30.22;
T_30.11 ;
    %load/v 8, v00B12CF8_0, 1; Only need 1 of 8 bits
; Save base=8 wid=1 in lookaside.
    %set/v v00B12D50_0, 8, 1;
    %jmp T_30.22;
T_30.12 ;
    %ix/load 1, 2, 0;
    %mov 4, 0, 1;
    %jmp/1 T_30.27, 4;
    %load/x1p 8, v00B12CF8_0, 1;
    %jmp T_30.28;
T_30.27 ;
    %mov 8, 2, 1;
T_30.28 ;
; Save base=8 wid=1 in lookaside.
    %inv 8, 1;
    %set/v v00B12D50_0, 8, 1;
    %jmp T_30.22;
T_30.13 ;
    %ix/load 1, 2, 0;
    %mov 4, 0, 1;
    %jmp/1 T_30.29, 4;
    %load/x1p 8, v00B12CF8_0, 1;
    %jmp T_30.30;
T_30.29 ;
    %mov 8, 2, 1;
T_30.30 ;
; Save base=8 wid=1 in lookaside.
    %set/v v00B12D50_0, 8, 1;
    %jmp T_30.22;
T_30.14 ;
    %ix/load 1, 1, 0;
    %mov 4, 0, 1;
    %jmp/1 T_30.31, 4;
    %load/x1p 8, v00B12CF8_0, 1;
    %jmp T_30.32;
T_30.31 ;
    %mov 8, 2, 1;
T_30.32 ;
; Save base=8 wid=1 in lookaside.
    %inv 8, 1;
    %set/v v00B12D50_0, 8, 1;
    %jmp T_30.22;
T_30.15 ;
    %ix/load 1, 1, 0;
    %mov 4, 0, 1;
    %jmp/1 T_30.33, 4;
    %load/x1p 8, v00B12CF8_0, 1;
    %jmp T_30.34;
T_30.33 ;
    %mov 8, 2, 1;
T_30.34 ;
; Save base=8 wid=1 in lookaside.
    %set/v v00B12D50_0, 8, 1;
    %jmp T_30.22;
T_30.16 ;
    %ix/load 1, 3, 0;
    %mov 4, 0, 1;
    %jmp/1 T_30.35, 4;
    %load/x1p 8, v00B12CF8_0, 1;
    %jmp T_30.36;
T_30.35 ;
    %mov 8, 2, 1;
T_30.36 ;
; Save base=8 wid=1 in lookaside.
    %inv 8, 1;
    %set/v v00B12D50_0, 8, 1;
    %jmp T_30.22;
T_30.17 ;
    %ix/load 1, 3, 0;
    %mov 4, 0, 1;
    %jmp/1 T_30.37, 4;
    %load/x1p 8, v00B12CF8_0, 1;
    %jmp T_30.38;
T_30.37 ;
    %mov 8, 2, 1;
T_30.38 ;
; Save base=8 wid=1 in lookaside.
    %set/v v00B12D50_0, 8, 1;
    %jmp T_30.22;
T_30.18 ;
    %ix/load 1, 3, 0;
    %mov 4, 0, 1;
    %jmp/1 T_30.39, 4;
    %load/x1p 8, v00B12CF8_0, 1;
    %jmp T_30.40;
T_30.39 ;
    %mov 8, 2, 1;
T_30.40 ;
; Save base=8 wid=1 in lookaside.
    %ix/load 1, 1, 0;
    %mov 4, 0, 1;
    %jmp/1 T_30.41, 4;
    %load/x1p 9, v00B12CF8_0, 1;
    %jmp T_30.42;
T_30.41 ;
    %mov 9, 2, 1;
T_30.42 ;
; Save base=9 wid=1 in lookaside.
    %cmp/u 8, 9, 1;
    %mov 8, 4, 1;
    %set/v v00B12D50_0, 8, 1;
    %jmp T_30.22;
T_30.19 ;
    %ix/load 1, 3, 0;
    %mov 4, 0, 1;
    %jmp/1 T_30.43, 4;
    %load/x1p 8, v00B12CF8_0, 1;
    %jmp T_30.44;
T_30.43 ;
    %mov 8, 2, 1;
T_30.44 ;
; Save base=8 wid=1 in lookaside.
    %ix/load 1, 1, 0;
    %mov 4, 0, 1;
    %jmp/1 T_30.45, 4;
    %load/x1p 9, v00B12CF8_0, 1;
    %jmp T_30.46;
T_30.45 ;
    %mov 9, 2, 1;
T_30.46 ;
; Save base=9 wid=1 in lookaside.
    %cmp/u 8, 9, 1;
    %inv 4, 1;
    %mov 8, 4, 1;
    %set/v v00B12D50_0, 8, 1;
    %jmp T_30.22;
T_30.20 ;
    %ix/load 1, 3, 0;
    %mov 4, 0, 1;
    %jmp/1 T_30.47, 4;
    %load/x1p 8, v00B12CF8_0, 1;
    %jmp T_30.48;
T_30.47 ;
    %mov 8, 2, 1;
T_30.48 ;
; Save base=8 wid=1 in lookaside.
    %ix/load 1, 1, 0;
    %mov 4, 0, 1;
    %jmp/1 T_30.49, 4;
    %load/x1p 9, v00B12CF8_0, 1;
    %jmp T_30.50;
T_30.49 ;
    %mov 9, 2, 1;
T_30.50 ;
; Save base=9 wid=1 in lookaside.
    %cmp/u 8, 9, 1;
    %mov 8, 4, 1;
    %ix/load 1, 2, 0;
    %mov 4, 0, 1;
    %jmp/1 T_30.51, 4;
    %load/x1p 9, v00B12CF8_0, 1;
    %jmp T_30.52;
T_30.51 ;
    %mov 9, 2, 1;
T_30.52 ;
; Save base=9 wid=1 in lookaside.
    %inv 9, 1;
    %and 8, 9, 1;
    %set/v v00B12D50_0, 8, 1;
    %jmp T_30.22;
T_30.21 ;
    %ix/load 1, 3, 0;
    %mov 4, 0, 1;
    %jmp/1 T_30.53, 4;
    %load/x1p 8, v00B12CF8_0, 1;
    %jmp T_30.54;
T_30.53 ;
    %mov 8, 2, 1;
T_30.54 ;
; Save base=8 wid=1 in lookaside.
    %ix/load 1, 1, 0;
    %mov 4, 0, 1;
    %jmp/1 T_30.55, 4;
    %load/x1p 9, v00B12CF8_0, 1;
    %jmp T_30.56;
T_30.55 ;
    %mov 9, 2, 1;
T_30.56 ;
; Save base=9 wid=1 in lookaside.
    %cmp/u 8, 9, 1;
    %inv 4, 1;
    %mov 8, 4, 1;
    %ix/load 1, 2, 0;
    %mov 4, 0, 1;
    %jmp/1 T_30.57, 4;
    %load/x1p 9, v00B12CF8_0, 1;
    %jmp T_30.58;
T_30.57 ;
    %mov 9, 2, 1;
T_30.58 ;
; Save base=9 wid=1 in lookaside.
    %or 8, 9, 1;
    %set/v v00B12D50_0, 8, 1;
    %jmp T_30.22;
T_30.22 ;
T_30.4 ;
    %jmp T_30;
    .thread T_30, $push;
    .scope S_00B18D40;
T_31 ;
    %wait E_00AEF278;
    %load/v 8, v0106ABF0_0, 4;
    %cmpi/u 8, 15, 4;
    %inv 4, 1;
    %jmp/0xz  T_31.0, 4;
    %load/v 8, v0106ABF0_0, 4;
    %set/v v01069298_0, 8, 4;
    %jmp T_31.1;
T_31.0 ;
    %load/v 8, v010695E0_0, 4;
    %set/v v01069298_0, 8, 4;
T_31.1 ;
    %jmp T_31;
    .thread T_31, $push;
    .scope S_00B18D40;
T_32 ;
    %wait E_00AEF298;
    %load/v 8, v0106ABF0_0, 4;
    %cmpi/u 8, 15, 4;
    %inv 4, 1;
    %jmp/0xz  T_32.0, 4;
    %load/v 8, v0106ABF0_0, 4;
    %set/v v010693F8_0, 8, 4;
    %jmp T_32.1;
T_32.0 ;
    %load/v 8, v01069588_0, 4;
    %set/v v010693F8_0, 8, 4;
T_32.1 ;
    %jmp T_32;
    .thread T_32, $push;
    .scope S_00B18D40;
T_33 ;
    %wait E_00AEF4B8;
    %load/v 8, v0106B328_0, 1;
    %load/v 9, v0106B430_0, 1;
    %or 8, 9, 1;
    %load/v 9, v0106B3D8_0, 1;
    %or 8, 9, 1;
    %jmp/0xz  T_33.0, 8;
    %load/v 8, v0106A450_0, 8;
    %load/v 16, v0106A3F8_0, 8;
    %set/v v010693A0_0, 8, 16;
    %jmp T_33.1;
T_33.0 ;
    %load/v 8, v0106B278_0, 1;
    %jmp/0xz  T_33.2, 8;
    %load/v 8, v010698A0_0, 1;
    %jmp/0xz  T_33.4, 8;
    %load/v 8, v0106A450_0, 8;
    %load/v 16, v0106A3F8_0, 8;
    %set/v v010693A0_0, 8, 16;
    %jmp T_33.5;
T_33.4 ;
    %load/v 8, v0106B640_0, 16;
    %set/v v010693A0_0, 8, 16;
T_33.5 ;
    %jmp T_33.3;
T_33.2 ;
    %load/v 8, v01068E20_0, 16;
    %set/v v010693A0_0, 8, 16;
T_33.3 ;
T_33.1 ;
    %jmp T_33;
    .thread T_33, $push;
    .scope S_00B18D40;
T_34 ;
    %wait E_00AEF498;
    %load/v 8, v01069638_0, 2;
    %mov 10, 0, 1;
    %cmpi/u 8, 1, 3;
    %jmp/0xz  T_34.0, 4;
    %load/v 8, v0106A450_0, 8;
    %load/v 16, v0106A3F8_0, 8;
    %set/v v010692F0_0, 8, 16;
    %jmp T_34.1;
T_34.0 ;
    %load/v 8, v0106B278_0, 1;
    %jmp/0xz  T_34.2, 8;
    %load/v 8, v010698A0_0, 1;
    %jmp/0xz  T_34.4, 8;
    %load/v 8, v0106A450_0, 8;
    %load/v 16, v0106A3F8_0, 8;
    %set/v v010692F0_0, 8, 16;
    %jmp T_34.5;
T_34.4 ;
    %load/v 8, v0106B640_0, 16;
    %set/v v010692F0_0, 8, 16;
T_34.5 ;
    %jmp T_34.3;
T_34.2 ;
    %load/v 8, v0106B698_0, 16;
    %set/v v010692F0_0, 8, 16;
T_34.3 ;
T_34.1 ;
    %jmp T_34;
    .thread T_34, $push;
    .scope S_00B18D40;
T_35 ;
    %wait E_00AF0718;
    %load/v 8, v0106A450_0, 8;
    %load/v 16, v0106A3F8_0, 8;
    %set/v v0106A930_0, 8, 16;
    %load/v 8, v01069C10_0, 3;
    %cmpi/u 8, 7, 3;
    %jmp/1 T_35.0, 6;
    %cmpi/u 8, 6, 3;
    %jmp/1 T_35.1, 6;
    %cmpi/u 8, 5, 3;
    %jmp/1 T_35.2, 6;
    %cmpi/u 8, 3, 3;
    %jmp/1 T_35.3, 6;
    %cmpi/u 8, 4, 3;
    %jmp/1 T_35.4, 6;
    %load/v 8, v0106A450_0, 8;
    %load/v 16, v0106A3F8_0, 8;
    %set/v v0106A930_0, 8, 16;
    %jmp T_35.6;
T_35.0 ;
    %load/v 8, v0106B6F0_0, 16;
    %load/v 24, v0106A450_0, 8;
    %load/v 32, v0106A3F8_0, 8;
    %add 8, 24, 16;
    %set/v v0106A930_0, 8, 16;
    %jmp T_35.6;
T_35.1 ;
    %load/v 8, v0106B6F0_0, 16;
    %load/v 24, v0106A450_0, 8;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %jmp/1 T_35.7, 4;
    %load/x1p 48, v0106A450_0, 1;
    %jmp T_35.8;
T_35.7 ;
    %mov 48, 2, 1;
T_35.8 ;
    %mov 40, 48, 1; Move signal select into place
    %mov 47, 40, 1; Repetition 8
    %mov 46, 40, 1; Repetition 7
    %mov 45, 40, 1; Repetition 6
    %mov 44, 40, 1; Repetition 5
    %mov 43, 40, 1; Repetition 4
    %mov 42, 40, 1; Repetition 3
    %mov 41, 40, 1; Repetition 2
    %mov 32, 40, 8;
    %add 8, 24, 16;
    %set/v v0106A930_0, 8, 16;
    %jmp T_35.6;
T_35.2 ;
    %load/v 8, v0106A088_0, 8;
    %load/v 16, v0106A030_0, 8;
    %set/v v0106A930_0, 8, 16;
    %jmp T_35.6;
T_35.3 ;
    %load/v 8, v0106A088_0, 8;
    %load/v 16, v0106B5E8_0, 8;
    %set/v v0106A930_0, 8, 16;
    %jmp T_35.6;
T_35.4 ;
    %load/v 8, v010698A0_0, 1;
    %jmp/0xz  T_35.9, 8;
    %load/v 8, v0106A450_0, 8;
    %load/v 16, v0106A3F8_0, 8;
    %set/v v0106A930_0, 8, 16;
    %jmp T_35.10;
T_35.9 ;
    %load/v 8, v0106B640_0, 16;
    %set/v v0106A930_0, 8, 16;
T_35.10 ;
    %jmp T_35.6;
T_35.6 ;
    %jmp T_35;
    .thread T_35, $push;
    .scope S_00B18D40;
T_36 ;
    %wait E_00AF0758;
    %load/v 8, v0106A450_0, 8;
    %load/v 16, v0106A3F8_0, 8;
    %set/v v01069348_0, 8, 16;
    %load/v 8, v010696E8_0, 2;
    %mov 10, 0, 1;
    %cmpi/u 8, 0, 3;
    %mov 8, 4, 1;
    %load/v 9, v01069C10_0, 3;
    %cmpi/u 9, 1, 3;
    %inv 4, 1;
    %mov 9, 4, 1;
    %and 8, 9, 1;
    %jmp/0xz  T_36.0, 8;
    %load/v 8, v0106B748_0, 16;
    %set/v v01069348_0, 8, 16;
T_36.0 ;
    %jmp T_36;
    .thread T_36, $push;
    .scope S_00B18D40;
T_37 ;
    %wait E_00AF0778;
    %load/v 8, v0106ADA8_0, 1;
    %jmp/0xz  T_37.0, 8;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 0;
    %ix/load 0, 3, 0;
    %assign/v0 v0106AD50_0, 0, 0;
    %ix/load 0, 3, 0;
    %assign/v0 v0106ACA0_0, 0, 0;
    %ix/load 0, 3, 0;
    %assign/v0 v0106ACF8_0, 0, 0;
    %jmp T_37.1;
T_37.0 ;
    %ix/load 1, 2, 0;
    %mov 4, 0, 1;
    %jmp/1 T_37.2, 4;
    %load/x1p 8, v0106AD50_0, 1;
    %jmp T_37.3;
T_37.2 ;
    %mov 8, 2, 1;
T_37.3 ;
; Save base=8 wid=1 in lookaside.
    %inv 8, 1;
    %jmp/0xz  T_37.4, 8;
    %load/v 11, v01069138_0, 1;
    %inv 11, 1;
    %mov 8, 11, 1;
    %load/v 9, v0106AD50_0, 2; Select 2 out of 3 bits
    %ix/load 0, 3, 0;
    %assign/v0 v0106AD50_0, 0, 8;
T_37.4 ;
    %ix/load 1, 2, 0;
    %mov 4, 0, 1;
    %jmp/1 T_37.6, 4;
    %load/x1p 8, v0106ACF8_0, 1;
    %jmp T_37.7;
T_37.6 ;
    %mov 8, 2, 1;
T_37.7 ;
; Save base=8 wid=1 in lookaside.
    %inv 8, 1;
    %jmp/0xz  T_37.8, 8;
    %load/v 11, v01069088_0, 1;
    %inv 11, 1;
    %mov 8, 11, 1;
    %load/v 9, v0106ACF8_0, 2; Select 2 out of 3 bits
    %ix/load 0, 3, 0;
    %assign/v0 v0106ACF8_0, 0, 8;
T_37.8 ;
    %ix/load 1, 2, 0;
    %mov 4, 0, 1;
    %jmp/1 T_37.10, 4;
    %load/x1p 8, v0106ACA0_0, 1;
    %jmp T_37.11;
T_37.10 ;
    %mov 8, 2, 1;
T_37.11 ;
; Save base=8 wid=1 in lookaside.
    %inv 8, 1;
    %jmp/0xz  T_37.12, 8;
    %load/v 11, v01069030_0, 1;
    %inv 11, 1;
    %mov 8, 11, 1;
    %load/v 9, v0106ACA0_0, 2; Select 2 out of 3 bits
    %ix/load 0, 3, 0;
    %assign/v0 v0106ACA0_0, 0, 8;
T_37.12 ;
    %load/v 8, v0106A1E8_0, 1;
    %jmp/0xz  T_37.14, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v0106A1E8_0, 0, 0;
T_37.14 ;
    %load/v 8, v0106AF08_0, 1;
    %jmp/0xz  T_37.16, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v0106AF08_0, 0, 0;
T_37.16 ;
    %load/v 8, v01069F80_0, 1;
    %jmp/0xz  T_37.18, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v01069F80_0, 0, 0;
T_37.18 ;
    %load/v 8, v01069F28_0, 1;
    %jmp/0xz  T_37.20, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v01069F28_0, 0, 0;
T_37.20 ;
    %load/v 8, v0106AF60_0, 1;
    %jmp/0xz  T_37.22, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v0106AF60_0, 0, 0;
T_37.22 ;
    %load/v 8, v01069FD8_0, 1;
    %jmp/0xz  T_37.24, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v01069FD8_0, 0, 0;
T_37.24 ;
    %load/v 8, v0106A240_0, 1;
    %jmp/0xz  T_37.26, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v0106A240_0, 0, 0;
T_37.26 ;
    %load/v 8, v0106AE00_0, 1;
    %jmp/0xz  T_37.28, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v0106AE00_0, 0, 0;
T_37.28 ;
    %load/v 8, v01069E20_0, 1;
    %jmp/0xz  T_37.30, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v01069E20_0, 0, 0;
T_37.30 ;
    %load/v 8, v0106AE58_0, 1;
    %jmp/0xz  T_37.32, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v0106AE58_0, 0, 0;
T_37.32 ;
    %load/v 8, v0106AEB0_0, 1;
    %jmp/0xz  T_37.34, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v0106AEB0_0, 0, 0;
T_37.34 ;
    %load/v 8, v0106AFB8_0, 1;
    %jmp/0xz  T_37.36, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v0106AFB8_0, 0, 0;
T_37.36 ;
    %load/v 8, v0106B7F8_0, 6;
    %cmpi/u 8, 0, 6;
    %jmp/1 T_37.38, 6;
    %cmpi/u 8, 1, 6;
    %jmp/1 T_37.39, 6;
    %cmpi/u 8, 2, 6;
    %jmp/1 T_37.40, 6;
    %cmpi/u 8, 3, 6;
    %jmp/1 T_37.41, 6;
    %cmpi/u 8, 4, 6;
    %jmp/1 T_37.42, 6;
    %cmpi/u 8, 5, 6;
    %jmp/1 T_37.43, 6;
    %cmpi/u 8, 6, 6;
    %jmp/1 T_37.44, 6;
    %cmpi/u 8, 7, 6;
    %jmp/1 T_37.45, 6;
    %cmpi/u 8, 8, 6;
    %jmp/1 T_37.46, 6;
    %cmpi/u 8, 9, 6;
    %jmp/1 T_37.47, 6;
    %cmpi/u 8, 15, 6;
    %jmp/1 T_37.48, 6;
    %cmpi/u 8, 17, 6;
    %jmp/1 T_37.49, 6;
    %cmpi/u 8, 18, 6;
    %jmp/1 T_37.50, 6;
    %cmpi/u 8, 19, 6;
    %jmp/1 T_37.51, 6;
    %cmpi/u 8, 20, 6;
    %jmp/1 T_37.52, 6;
    %cmpi/u 8, 38, 6;
    %jmp/1 T_37.53, 6;
    %cmpi/u 8, 21, 6;
    %jmp/1 T_37.54, 6;
    %cmpi/u 8, 22, 6;
    %jmp/1 T_37.55, 6;
    %cmpi/u 8, 23, 6;
    %jmp/1 T_37.56, 6;
    %cmpi/u 8, 24, 6;
    %jmp/1 T_37.57, 6;
    %cmpi/u 8, 25, 6;
    %jmp/1 T_37.58, 6;
    %cmpi/u 8, 26, 6;
    %jmp/1 T_37.59, 6;
    %cmpi/u 8, 27, 6;
    %jmp/1 T_37.60, 6;
    %cmpi/u 8, 28, 6;
    %jmp/1 T_37.61, 6;
    %cmpi/u 8, 32, 6;
    %jmp/1 T_37.62, 6;
    %cmpi/u 8, 33, 6;
    %jmp/1 T_37.63, 6;
    %cmpi/u 8, 34, 6;
    %jmp/1 T_37.64, 6;
    %cmpi/u 8, 35, 6;
    %jmp/1 T_37.65, 6;
    %cmpi/u 8, 36, 6;
    %jmp/1 T_37.66, 6;
    %cmpi/u 8, 37, 6;
    %jmp/1 T_37.67, 6;
    %cmpi/u 8, 48, 6;
    %jmp/1 T_37.68, 6;
    %cmpi/u 8, 49, 6;
    %jmp/1 T_37.69, 6;
    %cmpi/u 8, 50, 6;
    %jmp/1 T_37.70, 6;
    %cmpi/u 8, 51, 6;
    %jmp/1 T_37.71, 6;
    %cmpi/u 8, 52, 6;
    %jmp/1 T_37.72, 6;
    %cmpi/u 8, 53, 6;
    %jmp/1 T_37.73, 6;
    %cmpi/u 8, 54, 6;
    %jmp/1 T_37.74, 6;
    %cmpi/u 8, 55, 6;
    %jmp/1 T_37.75, 6;
    %cmpi/u 8, 56, 6;
    %jmp/1 T_37.76, 6;
    %cmpi/u 8, 57, 6;
    %jmp/1 T_37.77, 6;
    %cmpi/u 8, 58, 6;
    %jmp/1 T_37.78, 6;
    %cmpi/u 8, 59, 6;
    %jmp/1 T_37.79, 6;
    %cmpi/u 8, 60, 6;
    %jmp/1 T_37.80, 6;
    %cmpi/u 8, 61, 6;
    %jmp/1 T_37.81, 6;
    %cmpi/u 8, 62, 6;
    %jmp/1 T_37.82, 6;
    %cmpi/u 8, 63, 6;
    %jmp/1 T_37.83, 6;
    %jmp T_37.84;
T_37.38 ;
    %ix/load 0, 1, 0;
    %assign/v0 v0106A0E0_0, 0, 1;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v0106A030_0, 0, 1;
    %movi 8, 254, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v0106A088_0, 0, 8;
    %movi 8, 8, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B010_0, 0, 8;
    %movi 8, 21, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v0106AA90_0, 0, 8;
    %jmp T_37.84;
T_37.39 ;
    %ix/load 0, 1, 0;
    %assign/v0 v0106A0E0_0, 0, 1;
    %ix/load 0, 3, 0;
    %assign/v0 v0106AD50_0, 0, 0;
    %movi 8, 65532, 16;
    %ix/load 0, 8, 0;
    %assign/v0 v0106A088_0, 0, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v0106A030_0, 0, 16;
    %ix/load 0, 8, 0;
    %assign/v0 v0106AC48_0, 0, 1;
    %ix/load 0, 1, 0;
    %assign/v0 v0106AE00_0, 0, 1;
    %movi 8, 32, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B068_0, 0, 8;
    %movi 8, 8, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B010_0, 0, 8;
    %jmp T_37.84;
T_37.40 ;
    %ix/load 0, 1, 0;
    %assign/v0 v0106A0E0_0, 0, 1;
    %movi 8, 65530, 16;
    %ix/load 0, 8, 0;
    %assign/v0 v0106A088_0, 0, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v0106A030_0, 0, 16;
    %ix/load 0, 8, 0;
    %assign/v0 v0106AC48_0, 0, 1;
    %movi 8, 32, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B068_0, 0, 8;
    %movi 8, 8, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B010_0, 0, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v0106AE00_0, 0, 1;
    %jmp T_37.84;
T_37.41 ;
    %ix/load 0, 1, 0;
    %assign/v0 v0106A0E0_0, 0, 1;
    %ix/load 0, 3, 0;
    %assign/v0 v0106ACF8_0, 0, 0;
    %movi 8, 65528, 16;
    %ix/load 0, 8, 0;
    %assign/v0 v0106A088_0, 0, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v0106A030_0, 0, 16;
    %ix/load 0, 8, 0;
    %assign/v0 v0106AC48_0, 0, 1;
    %ix/load 0, 1, 0;
    %assign/v0 v0106AE00_0, 0, 1;
    %movi 8, 32, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B068_0, 0, 8;
    %movi 8, 8, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B010_0, 0, 8;
    %jmp T_37.84;
T_37.42 ;
    %ix/load 0, 1, 0;
    %assign/v0 v0106A0E0_0, 0, 1;
    %ix/load 0, 3, 0;
    %assign/v0 v0106ACA0_0, 0, 0;
    %movi 8, 65526, 16;
    %ix/load 0, 8, 0;
    %assign/v0 v0106A088_0, 0, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v0106A030_0, 0, 16;
    %movi 8, 129, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v0106AC48_0, 0, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v01069E20_0, 0, 1;
    %movi 8, 32, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B068_0, 0, 8;
    %movi 8, 8, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B010_0, 0, 8;
    %jmp T_37.84;
T_37.43 ;
    %ix/load 0, 1, 0;
    %assign/v0 v0106A0E0_0, 0, 1;
    %movi 8, 65524, 16;
    %ix/load 0, 8, 0;
    %assign/v0 v0106A088_0, 0, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v0106A030_0, 0, 16;
    %ix/load 0, 8, 0;
    %assign/v0 v0106AC48_0, 0, 1;
    %ix/load 0, 1, 0;
    %assign/v0 v0106AE00_0, 0, 1;
    %movi 8, 32, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B068_0, 0, 8;
    %movi 8, 8, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B010_0, 0, 8;
    %jmp T_37.84;
T_37.44 ;
    %ix/load 0, 1, 0;
    %assign/v0 v0106A0E0_0, 0, 1;
    %movi 8, 65522, 16;
    %ix/load 0, 8, 0;
    %assign/v0 v0106A088_0, 0, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v0106A030_0, 0, 16;
    %ix/load 0, 8, 0;
    %assign/v0 v0106AC48_0, 0, 1;
    %ix/load 0, 1, 0;
    %assign/v0 v0106AE00_0, 0, 1;
    %movi 8, 32, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B068_0, 0, 8;
    %movi 8, 8, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B010_0, 0, 8;
    %jmp T_37.84;
T_37.45 ;
    %ix/load 0, 1, 0;
    %assign/v0 v0106A0E0_0, 0, 1;
    %movi 8, 65520, 16;
    %ix/load 0, 8, 0;
    %assign/v0 v0106A088_0, 0, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v0106A030_0, 0, 16;
    %ix/load 0, 8, 0;
    %assign/v0 v0106AC48_0, 0, 1;
    %ix/load 0, 1, 0;
    %assign/v0 v0106AE00_0, 0, 1;
    %movi 8, 32, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B068_0, 0, 8;
    %movi 8, 8, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B010_0, 0, 8;
    %jmp T_37.84;
T_37.46 ;
    %vpi_call 3 450 "$display", "cpu_data_i %02x %t", v01068F28_0, $time;
    %movi 8, 9, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.84;
T_37.47 ;
    %load/v 8, v0106A3A0_0, 3;
    %cmpi/u 8, 0, 3;
    %jmp/1 T_37.85, 6;
    %cmpi/u 8, 1, 3;
    %jmp/1 T_37.86, 6;
    %cmpi/u 8, 2, 3;
    %jmp/1 T_37.87, 6;
    %cmpi/u 8, 3, 3;
    %jmp/1 T_37.88, 6;
    %cmpi/u 8, 4, 3;
    %jmp/1 T_37.89, 6;
    %cmpi/u 8, 5, 3;
    %jmp/1 T_37.90, 6;
    %jmp T_37.91;
T_37.85 ;
    %ix/load 0, 1, 0;
    %assign/v0 v0106AAE8_0, 0, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0106AB40_0, 0, 0;
    %movi 8, 18, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v0106AA90_0, 0, 8;
    %ix/load 0, 4, 0;
    %assign/v0 v0106ABF0_0, 0, 1;
    %load/v 8, v0106A988_0, 1;
    %jmp/0xz  T_37.92, 8;
    %movi 8, 1, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.93;
T_37.92 ;
    %load/v 8, v0106A138_0, 1;
    %ix/load 1, 6, 0;
    %mov 4, 0, 1;
    %jmp/1 T_37.94, 4;
    %load/x1p 9, v0106B590_0, 1;
    %jmp T_37.95;
T_37.94 ;
    %mov 9, 2, 1;
T_37.95 ;
; Save base=9 wid=1 in lookaside.
    %inv 9, 1;
    %and 8, 9, 1;
    %jmp/0xz  T_37.96, 8;
    %movi 8, 4, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.97;
T_37.96 ;
    %load/v 8, v0106A348_0, 1;
    %ix/load 1, 5, 0;
    %mov 4, 0, 1;
    %jmp/1 T_37.98, 4;
    %load/x1p 9, v0106B590_0, 1;
    %jmp T_37.99;
T_37.98 ;
    %mov 9, 2, 1;
T_37.99 ;
; Save base=9 wid=1 in lookaside.
    %inv 9, 1;
    %and 8, 9, 1;
    %jmp/0xz  T_37.100, 8;
    %movi 8, 3, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.101;
T_37.100 ;
    %ix/load 0, 1, 0;
    %load/vp0 8, v0106A3A0_0, 3;
    %ix/load 0, 3, 0;
    %assign/v0 v0106A3A0_0, 0, 8;
    %load/v 8, v0106B6F0_0, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v01069E78_0, 0, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v0106A1E8_0, 0, 1;
T_37.101 ;
T_37.97 ;
T_37.93 ;
    %jmp T_37.91;
T_37.86 ;
    %ix/load 0, 1, 0;
    %assign/v0 v01069F28_0, 0, 1;
    %ix/load 0, 1, 0;
    %load/vp0 8, v0106A3A0_0, 3;
    %ix/load 0, 3, 0;
    %assign/v0 v0106A3A0_0, 0, 8;
    %jmp T_37.91;
T_37.87 ;
    %load/v 8, v01068FD8_0, 1;
    %jmp/0xz  T_37.102, 8;
    %load/v 8, v01068F28_0, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v0106AA90_0, 0, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v01069F28_0, 0, 0;
    %load/v 8, v01068F28_0, 8;
    %cmpi/u 8, 16, 8;
    %jmp/1 T_37.104, 6;
    %cmpi/u 8, 17, 8;
    %jmp/1 T_37.105, 6;
    %cmpi/u 8, 30, 8;
    %jmp/1 T_37.106, 6;
    %cmpi/u 8, 31, 8;
    %jmp/1 T_37.107, 6;
    %movi 8, 15, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %ix/load 0, 3, 0;
    %assign/v0 v0106A3A0_0, 0, 0;
    %jmp T_37.109;
T_37.104 ;
    %ix/load 0, 1, 0;
    %assign/v0 v0106AAE8_0, 0, 1;
    %ix/load 0, 1, 0;
    %load/vp0 8, v0106A3A0_0, 3;
    %ix/load 0, 3, 0;
    %assign/v0 v0106A3A0_0, 0, 8;
    %jmp T_37.109;
T_37.105 ;
    %ix/load 0, 1, 0;
    %assign/v0 v0106AB40_0, 0, 1;
    %ix/load 0, 1, 0;
    %load/vp0 8, v0106A3A0_0, 3;
    %ix/load 0, 3, 0;
    %assign/v0 v0106A3A0_0, 0, 8;
    %jmp T_37.109;
T_37.106 ;
    %ix/load 0, 1, 0;
    %load/vp0 8, v0106A3A0_0, 3;
    %ix/load 0, 3, 0;
    %assign/v0 v0106A3A0_0, 0, 8;
    %jmp T_37.109;
T_37.107 ;
    %ix/load 0, 1, 0;
    %load/vp0 8, v0106A3A0_0, 3;
    %ix/load 0, 3, 0;
    %assign/v0 v0106A3A0_0, 0, 8;
    %jmp T_37.109;
T_37.109 ;
T_37.102 ;
    %jmp T_37.91;
T_37.88 ;
    %load/v 8, v0106B6F0_0, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v01069E78_0, 0, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v0106A1E8_0, 0, 1;
    %ix/load 0, 1, 0;
    %load/vp0 8, v0106A3A0_0, 3;
    %ix/load 0, 3, 0;
    %assign/v0 v0106A3A0_0, 0, 8;
    %jmp T_37.91;
T_37.89 ;
    %ix/load 0, 1, 0;
    %assign/v0 v01069F28_0, 0, 1;
    %ix/load 0, 1, 0;
    %load/vp0 8, v0106A3A0_0, 3;
    %ix/load 0, 3, 0;
    %assign/v0 v0106A3A0_0, 0, 8;
    %jmp T_37.91;
T_37.90 ;
    %load/v 8, v01068FD8_0, 1;
    %jmp/0xz  T_37.110, 8;
    %ix/load 0, 3, 0;
    %assign/v0 v0106A3A0_0, 0, 0;
    %load/v 8, v01068F28_0, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v0106AB98_0, 0, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v01069F28_0, 0, 0;
    %movi 8, 15, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
T_37.110 ;
    %jmp T_37.91;
T_37.91 ;
    %jmp T_37.84;
T_37.48 ;
    %load/v 8, v01069C10_0, 3;
    %cmpi/u 8, 0, 3;
    %jmp/1 T_37.112, 6;
    %cmpi/u 8, 1, 3;
    %jmp/1 T_37.113, 6;
    %cmpi/u 8, 2, 3;
    %jmp/1 T_37.114, 6;
    %cmpi/u 8, 3, 3;
    %jmp/1 T_37.115, 6;
    %cmpi/u 8, 4, 3;
    %jmp/1 T_37.116, 6;
    %cmpi/u 8, 5, 3;
    %jmp/1 T_37.117, 6;
    %cmpi/u 8, 6, 3;
    %jmp/1 T_37.118, 6;
    %cmpi/u 8, 7, 3;
    %jmp/1 T_37.119, 6;
    %jmp T_37.120;
T_37.112 ;
    %load/v 8, v0106B4E0_0, 1;
    %jmp/0xz  T_37.121, 8;
    %movi 8, 38, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.122;
T_37.121 ;
    %load/v 8, v0106B380_0, 1;
    %jmp/0xz  T_37.123, 8;
    %movi 8, 51, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %movi 8, 32, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B0C0_0, 0, 8;
    %movi 8, 9, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B068_0, 0, 8;
    %jmp T_37.124;
T_37.123 ;
    %load/v 8, v0106B328_0, 1;
    %jmp/0xz  T_37.125, 8;
    %movi 8, 33, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B0C0_0, 0, 8;
    %movi 8, 51, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.126;
T_37.125 ;
    %load/v 8, v0106B170_0, 1;
    %jmp/0xz  T_37.127, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v0106AEB0_0, 0, 1;
    %movi 8, 21, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.128;
T_37.127 ;
    %load/v 8, v0106B538_0, 1;
    %jmp/0xz  T_37.129, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v0106AFB8_0, 0, 1;
    %movi 8, 21, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.130;
T_37.129 ;
    %movi 8, 9, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
T_37.130 ;
T_37.128 ;
T_37.126 ;
T_37.124 ;
T_37.122 ;
    %jmp T_37.120;
T_37.113 ;
    %load/v 8, v01069798_0, 1;
    %jmp/0xz  T_37.131, 8;
    %movi 8, 48, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.132;
T_37.131 ;
    %movi 8, 51, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
T_37.132 ;
    %movi 8, 17, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B0C0_0, 0, 8;
    %jmp T_37.120;
T_37.114 ;
    %load/v 8, v0106B3D8_0, 1;
    %jmp/0xz  T_37.133, 8;
    %movi 8, 33, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v0106AC48_0, 0, 1;
    %jmp T_37.134;
T_37.133 ;
    %load/v 8, v0106B430_0, 1;
    %jmp/0xz  T_37.135, 8;
    %movi 8, 33, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %movi 8, 128, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v0106AC48_0, 0, 8;
    %jmp T_37.136;
T_37.135 ;
    %load/v 8, v0106B2D0_0, 1;
    %jmp/0xz  T_37.137, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v0106A4A8_0, 0, 1;
    %movi 8, 17, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.138;
T_37.137 ;
    %load/v 8, v0106B488_0, 1;
    %jmp/0xz  T_37.139, 8;
    %load/v 8, v0106AAE8_0, 1;
    %jmp/0xz  T_37.141, 8;
    %movi 8, 5, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.142;
T_37.141 ;
    %load/v 8, v0106AB40_0, 1;
    %jmp/0xz  T_37.143, 8;
    %movi 8, 6, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.144;
T_37.143 ;
    %movi 8, 2, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
T_37.144 ;
T_37.142 ;
    %jmp T_37.140;
T_37.139 ;
    %movi 8, 17, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
T_37.140 ;
T_37.138 ;
T_37.136 ;
T_37.134 ;
    %jmp T_37.120;
T_37.115 ;
    %movi 8, 51, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %load/v 8, v0106B220_0, 1;
    %jmp/0xz  T_37.145, 8;
    %movi 8, 28, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B0C0_0, 0, 8;
    %jmp T_37.146;
T_37.145 ;
    %load/v 8, v0106B1C8_0, 1;
    %jmp/0xz  T_37.147, 8;
    %movi 8, 27, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B0C0_0, 0, 8;
    %jmp T_37.148;
T_37.147 ;
    %load/v 8, v01069B60_0, 1;
    %jmp/0xz  T_37.149, 8;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B0C0_0, 0, 8;
    %movi 8, 17, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B010_0, 0, 8;
    %jmp T_37.150;
T_37.149 ;
    %movi 8, 17, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B0C0_0, 0, 8;
T_37.150 ;
    %load/v 8, v0106B5E8_0, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v0106A030_0, 0, 8;
T_37.148 ;
T_37.146 ;
    %jmp T_37.120;
T_37.116 ;
    %movi 8, 22, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.120;
T_37.117 ;
    %movi 8, 48, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %load/v 8, v0106B220_0, 1;
    %jmp/0xz  T_37.151, 8;
    %movi 8, 28, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B0C0_0, 0, 8;
    %jmp T_37.152;
T_37.151 ;
    %load/v 8, v0106B1C8_0, 1;
    %jmp/0xz  T_37.153, 8;
    %movi 8, 27, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B0C0_0, 0, 8;
    %jmp T_37.154;
T_37.153 ;
    %load/v 8, v01069B60_0, 1;
    %jmp/0xz  T_37.155, 8;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B0C0_0, 0, 8;
    %movi 8, 17, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B010_0, 0, 8;
    %jmp T_37.156;
T_37.155 ;
    %movi 8, 17, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B0C0_0, 0, 8;
T_37.156 ;
T_37.154 ;
T_37.152 ;
    %jmp T_37.120;
T_37.118 ;
    %movi 8, 51, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %load/v 8, v0106B220_0, 1;
    %jmp/0xz  T_37.157, 8;
    %movi 8, 28, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B0C0_0, 0, 8;
    %jmp T_37.158;
T_37.157 ;
    %movi 8, 27, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B0C0_0, 0, 8;
T_37.158 ;
    %jmp T_37.120;
T_37.119 ;
    %movi 8, 48, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %load/v 8, v0106B220_0, 1;
    %jmp/0xz  T_37.159, 8;
    %movi 8, 28, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B0C0_0, 0, 8;
    %jmp T_37.160;
T_37.159 ;
    %movi 8, 27, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B0C0_0, 0, 8;
T_37.160 ;
    %jmp T_37.120;
T_37.120 ;
    %jmp T_37.84;
T_37.49 ;
    %load/v 8, v0106A4A8_0, 1;
    %inv 8, 1;
    %jmp/0xz  T_37.161, 8;
    %movi 8, 18, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v0106AE58_0, 0, 1;
T_37.161 ;
    %ix/load 0, 1, 0;
    %assign/v0 v0106A4A8_0, 0, 0;
    %jmp T_37.84;
T_37.50 ;
    %movi 8, 9, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B010_0, 0, 8;
    %load/v 8, v0106B118_0, 1;
    %jmp/0xz  T_37.163, 8;
    %movi 8, 19, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.164;
T_37.163 ;
    %load/v 8, v01069848_0, 2;
    %mov 10, 0, 1;
    %cmpi/u 8, 1, 3;
    %jmp/0xz  T_37.165, 4;
    %movi 8, 62, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.166;
T_37.165 ;
    %load/v 8, v01069848_0, 2;
    %mov 10, 0, 1;
    %cmpi/u 8, 2, 3;
    %jmp/0xz  T_37.167, 4;
    %movi 8, 60, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.168;
T_37.167 ;
    %movi 8, 9, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %load/v 8, v01069A00_0, 1;
    %load/v 9, v01069C10_0, 3;
    %cmpi/u 9, 4, 3;
    %mov 9, 4, 1;
    %and 8, 9, 1;
    %ix/load 0, 1, 0;
    %assign/v0 v0106AF60_0, 0, 8;
T_37.168 ;
T_37.166 ;
T_37.164 ;
    %jmp T_37.84;
T_37.51 ;
    %ix/load 0, 8, 0;
    %assign/v0 v0106AC48_0, 0, 1;
    %ix/load 0, 1, 0;
    %assign/v0 v0106AE00_0, 0, 1;
    %movi 8, 32, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %movi 8, 20, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B068_0, 0, 8;
    %jmp T_37.84;
T_37.52 ;
    %ix/load 0, 1, 0;
    %assign/v0 v0106A0E0_0, 0, 1;
    %movi 8, 9, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B010_0, 0, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v0106A030_0, 0, 1;
    %ix/load 0, 4, 0;
    %ix/load 1, 4, 0;
    %assign/v0/x1 v0106A088_0, 0, 1;
    %load/v 8, v0106A988_0, 1;
    %jmp/0xz  T_37.169, 8;
    %ix/load 0, 3, 0;
    %assign/v0 v0106AD50_0, 0, 0;
    %movi 8, 12, 4;
    %ix/load 0, 4, 0;
    %ix/load 1, 0, 0;
    %assign/v0/x1 v0106A088_0, 0, 8;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.170;
T_37.169 ;
    %load/v 8, v0106A138_0, 1;
    %ix/load 1, 6, 0;
    %mov 4, 0, 1;
    %jmp/1 T_37.171, 4;
    %load/x1p 9, v0106B590_0, 1;
    %jmp T_37.172;
T_37.171 ;
    %mov 9, 2, 1;
T_37.172 ;
; Save base=9 wid=1 in lookaside.
    %and 8, 9, 1;
    %jmp/0xz  T_37.173, 8;
    %ix/load 0, 3, 0;
    %assign/v0 v0106ACA0_0, 0, 0;
    %movi 8, 6, 4;
    %ix/load 0, 4, 0;
    %ix/load 1, 0, 0;
    %assign/v0/x1 v0106A088_0, 0, 8;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.174;
T_37.173 ;
    %load/v 8, v0106A348_0, 1;
    %ix/load 1, 5, 0;
    %mov 4, 0, 1;
    %jmp/1 T_37.175, 4;
    %load/x1p 9, v0106B590_0, 1;
    %jmp T_37.176;
T_37.175 ;
    %mov 9, 2, 1;
T_37.176 ;
; Save base=9 wid=1 in lookaside.
    %and 8, 9, 1;
    %jmp/0xz  T_37.177, 8;
    %ix/load 0, 3, 0;
    %assign/v0 v0106ACF8_0, 0, 0;
    %movi 8, 8, 4;
    %ix/load 0, 4, 0;
    %ix/load 1, 0, 0;
    %assign/v0/x1 v0106A088_0, 0, 8;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
T_37.177 ;
T_37.174 ;
T_37.170 ;
    %jmp T_37.84;
T_37.53 ;
    %load/v 8, v0106A988_0, 1;
    %jmp/0xz  T_37.179, 8;
    %load/v 8, v0106AD50_0, 3;
    %cmpi/u 8, 7, 3;
    %jmp/0xz  T_37.181, 4;
    %movi 8, 1, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.182;
T_37.181 ;
    %movi 8, 9, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %ix/load 0, 3, 0;
    %assign/v0 v0106AD50_0, 0, 0;
T_37.182 ;
    %jmp T_37.180;
T_37.179 ;
    %load/v 8, v0106A138_0, 1;
    %ix/load 1, 6, 0;
    %mov 4, 0, 1;
    %jmp/1 T_37.183, 4;
    %load/x1p 9, v0106B590_0, 1;
    %jmp T_37.184;
T_37.183 ;
    %mov 9, 2, 1;
T_37.184 ;
; Save base=9 wid=1 in lookaside.
    %and 8, 9, 1;
    %jmp/0xz  T_37.185, 8;
    %load/v 8, v0106ACA0_0, 3;
    %cmpi/u 8, 7, 3;
    %jmp/0xz  T_37.187, 4;
    %movi 8, 4, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.188;
T_37.187 ;
    %movi 8, 9, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %ix/load 0, 3, 0;
    %assign/v0 v0106ACA0_0, 0, 0;
T_37.188 ;
    %jmp T_37.186;
T_37.185 ;
    %load/v 8, v0106A348_0, 1;
    %ix/load 1, 5, 0;
    %mov 4, 0, 1;
    %jmp/1 T_37.189, 4;
    %load/x1p 9, v0106B590_0, 1;
    %jmp T_37.190;
T_37.189 ;
    %mov 9, 2, 1;
T_37.190 ;
; Save base=9 wid=1 in lookaside.
    %and 8, 9, 1;
    %jmp/0xz  T_37.191, 8;
    %load/v 8, v0106ACF8_0, 3;
    %cmpi/u 8, 7, 3;
    %jmp/0xz  T_37.193, 4;
    %movi 8, 3, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.194;
T_37.193 ;
    %movi 8, 9, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %ix/load 0, 3, 0;
    %assign/v0 v0106ACF8_0, 0, 0;
T_37.194 ;
    %jmp T_37.192;
T_37.191 ;
    %movi 8, 10, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %load/v 8, v0106B6F0_0, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v01069E78_0, 0, 8;
T_37.192 ;
T_37.186 ;
T_37.180 ;
    %jmp T_37.84;
T_37.54 ;
    %movi 8, 9, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.84;
T_37.55 ;
    %load/v 8, v0106B6F0_0, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v01069E78_0, 0, 8;
    %movi 8, 23, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v0106A1E8_0, 0, 1;
    %jmp T_37.84;
T_37.56 ;
    %ix/load 0, 1, 0;
    %assign/v0 v01069F28_0, 0, 1;
    %movi 8, 24, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.84;
T_37.57 ;
    %load/v 8, v01068F28_0, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v0106A298_0, 0, 8;
    %movi 8, 25, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.84;
T_37.58 ;
    %ix/load 0, 1, 0;
    %assign/v0 v0106A2F0_0, 0, 0;
    %load/v 8, v010699A8_0, 1;
    %jmp/0xz  T_37.195, 8;
    %movi 8, 51, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %movi 8, 26, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B0C0_0, 0, 8;
    %jmp T_37.196;
T_37.195 ;
    %load/v 8, v010698F8_0, 1;
    %jmp/0xz  T_37.197, 8;
    %movi 8, 48, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %movi 8, 26, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B0C0_0, 0, 8;
    %jmp T_37.198;
T_37.197 ;
    %load/v 8, v0106B220_0, 1;
    %jmp/0xz  T_37.199, 8;
    %movi 8, 28, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B0C0_0, 0, 8;
    %jmp T_37.200;
T_37.199 ;
    %load/v 8, v01069B60_0, 1;
    %jmp/0xz  T_37.201, 8;
    %movi 8, 17, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B010_0, 0, 8;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %load/v 8, v010698A0_0, 1;
    %jmp/0xz  T_37.203, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v0106A190_0, 0, 1;
T_37.203 ;
    %jmp T_37.202;
T_37.201 ;
    %movi 8, 17, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
T_37.202 ;
T_37.200 ;
T_37.198 ;
T_37.196 ;
    %jmp T_37.84;
T_37.59 ;
    %load/v 8, v0106B220_0, 1;
    %jmp/0xz  T_37.205, 8;
    %movi 8, 28, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B0C0_0, 0, 8;
    %jmp T_37.206;
T_37.205 ;
    %load/v 8, v01069B60_0, 1;
    %jmp/0xz  T_37.207, 8;
    %movi 8, 17, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B010_0, 0, 8;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %load/v 8, v010698A0_0, 1;
    %jmp/0xz  T_37.209, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v0106A190_0, 0, 1;
T_37.209 ;
    %jmp T_37.208;
T_37.207 ;
    %movi 8, 17, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
T_37.208 ;
T_37.206 ;
    %jmp T_37.84;
T_37.60 ;
    %movi 8, 9, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.84;
T_37.61 ;
    %movi 8, 5, 4;
    %ix/load 0, 4, 0;
    %assign/v0 v0106ABF0_0, 0, 8;
    %movi 8, 34, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %movi 8, 27, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B0C0_0, 0, 8;
    %load/v 8, v0106B7A0_0, 16;
    %subi 8, 1, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v01069E78_0, 0, 8;
    %jmp T_37.84;
T_37.62 ;
    %movi 8, 32, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B0C0_0, 0, 8;
    %load/v 8, v0106AC48_0, 8;
    %cmpi/u 8, 0, 8;
    %inv 4, 1;
    %jmp/0xz  T_37.211, 4;
    %movi 8, 34, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.212;
T_37.211 ;
    %load/v 8, v0106B068_0, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
T_37.212 ;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %jmp/1 T_37.213, 4;
    %load/x1p 8, v0106AC48_0, 1;
    %jmp T_37.214;
T_37.213 ;
    %mov 8, 2, 1;
T_37.214 ;
; Save base=8 wid=1 in lookaside.
    %jmp/0xz  T_37.215, 8;
    %ix/load 0, 1, 0;
    %ix/load 1, 7, 0;
    %assign/v0/x1 v0106AC48_0, 0, 0;
    %movi 8, 5, 4;
    %ix/load 0, 4, 0;
    %assign/v0 v0106ABF0_0, 0, 8;
    %jmp T_37.216;
T_37.215 ;
    %ix/load 1, 6, 0;
    %mov 4, 0, 1;
    %jmp/1 T_37.217, 4;
    %load/x1p 8, v0106AC48_0, 1;
    %jmp T_37.218;
T_37.217 ;
    %mov 8, 2, 1;
T_37.218 ;
; Save base=8 wid=1 in lookaside.
    %jmp/0xz  T_37.219, 8;
    %ix/load 0, 1, 0;
    %ix/load 1, 6, 0;
    %assign/v0/x1 v0106AC48_0, 0, 0;
    %load/v 8, v01069D18_0, 1;
    %jmp/0  T_37.221, 8;
    %movi 9, 3, 4;
    %jmp/1  T_37.223, 8;
T_37.221 ; End of true expr.
    %movi 13, 4, 4;
    %jmp/0  T_37.222, 8;
 ; End of false expr.
    %blend  9, 13, 4; Condition unknown.
    %jmp  T_37.223;
T_37.222 ;
    %mov 9, 13, 4; Return false value
T_37.223 ;
    %ix/load 0, 4, 0;
    %assign/v0 v0106ABF0_0, 0, 9;
    %jmp T_37.220;
T_37.219 ;
    %ix/load 1, 5, 0;
    %mov 4, 0, 1;
    %jmp/1 T_37.224, 4;
    %load/x1p 8, v0106AC48_0, 1;
    %jmp T_37.225;
T_37.224 ;
    %mov 8, 2, 1;
T_37.225 ;
; Save base=8 wid=1 in lookaside.
    %jmp/0xz  T_37.226, 8;
    %ix/load 0, 1, 0;
    %ix/load 1, 5, 0;
    %assign/v0/x1 v0106AC48_0, 0, 0;
    %movi 8, 2, 4;
    %ix/load 0, 4, 0;
    %assign/v0 v0106ABF0_0, 0, 8;
    %jmp T_37.227;
T_37.226 ;
    %ix/load 1, 4, 0;
    %mov 4, 0, 1;
    %jmp/1 T_37.228, 4;
    %load/x1p 8, v0106AC48_0, 1;
    %jmp T_37.229;
T_37.228 ;
    %mov 8, 2, 1;
T_37.229 ;
; Save base=8 wid=1 in lookaside.
    %jmp/0xz  T_37.230, 8;
    %ix/load 0, 1, 0;
    %ix/load 1, 4, 0;
    %assign/v0/x1 v0106AC48_0, 0, 0;
    %movi 8, 1, 4;
    %ix/load 0, 4, 0;
    %assign/v0 v0106ABF0_0, 0, 8;
    %jmp T_37.231;
T_37.230 ;
    %ix/load 1, 3, 0;
    %mov 4, 0, 1;
    %jmp/1 T_37.232, 4;
    %load/x1p 8, v0106AC48_0, 1;
    %jmp T_37.233;
T_37.232 ;
    %mov 8, 2, 1;
T_37.233 ;
; Save base=8 wid=1 in lookaside.
    %jmp/0xz  T_37.234, 8;
    %ix/load 0, 1, 0;
    %ix/load 1, 3, 0;
    %assign/v0/x1 v0106AC48_0, 0, 0;
    %movi 8, 11, 4;
    %ix/load 0, 4, 0;
    %assign/v0 v0106ABF0_0, 0, 8;
    %jmp T_37.235;
T_37.234 ;
    %ix/load 1, 2, 0;
    %mov 4, 0, 1;
    %jmp/1 T_37.236, 4;
    %load/x1p 8, v0106AC48_0, 1;
    %jmp T_37.237;
T_37.236 ;
    %mov 8, 2, 1;
T_37.237 ;
; Save base=8 wid=1 in lookaside.
    %jmp/0xz  T_37.238, 8;
    %ix/load 0, 1, 0;
    %ix/load 1, 2, 0;
    %assign/v0/x1 v0106AC48_0, 0, 0;
    %movi 8, 9, 4;
    %ix/load 0, 4, 0;
    %assign/v0 v0106ABF0_0, 0, 8;
    %jmp T_37.239;
T_37.238 ;
    %ix/load 1, 1, 0;
    %mov 4, 0, 1;
    %jmp/1 T_37.240, 4;
    %load/x1p 8, v0106AC48_0, 1;
    %jmp T_37.241;
T_37.240 ;
    %mov 8, 2, 1;
T_37.241 ;
; Save base=8 wid=1 in lookaside.
    %jmp/0xz  T_37.242, 8;
    %ix/load 0, 1, 0;
    %ix/load 1, 1, 0;
    %assign/v0/x1 v0106AC48_0, 0, 0;
    %movi 8, 8, 4;
    %ix/load 0, 4, 0;
    %assign/v0 v0106ABF0_0, 0, 8;
    %jmp T_37.243;
T_37.242 ;
    %load/v 8, v0106AC48_0, 1; Only need 1 of 8 bits
; Save base=8 wid=1 in lookaside.
    %jmp/0xz  T_37.244, 8;
    %ix/load 0, 1, 0;
    %ix/load 1, 0, 0;
    %assign/v0/x1 v0106AC48_0, 0, 0;
    %movi 8, 10, 4;
    %ix/load 0, 4, 0;
    %assign/v0 v0106ABF0_0, 0, 8;
T_37.244 ;
T_37.243 ;
T_37.239 ;
T_37.235 ;
T_37.231 ;
T_37.227 ;
T_37.220 ;
T_37.216 ;
    %load/v 8, v0106B7A0_0, 16;
    %subi 8, 1, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v01069E78_0, 0, 8;
    %jmp T_37.84;
T_37.63 ;
    %load/v 8, v0106AC48_0, 8;
    %cmpi/u 8, 0, 8;
    %inv 4, 1;
    %jmp/0xz  T_37.246, 4;
    %movi 8, 33, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B010_0, 0, 8;
    %load/v 8, v0106AC48_0, 1; Only need 1 of 8 bits
; Save base=8 wid=1 in lookaside.
    %jmp/0xz  T_37.248, 8;
    %movi 8, 10, 4;
    %ix/load 0, 4, 0;
    %assign/v0 v0106ABF0_0, 0, 8;
    %ix/load 0, 1, 0;
    %ix/load 1, 0, 0;
    %assign/v0/x1 v0106AC48_0, 0, 0;
    %movi 8, 57, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.249;
T_37.248 ;
    %load/v 8, v0106B3D8_0, 1;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %jmp/1 T_37.250, 4;
    %load/x1p 9, v0106B590_0, 1;
    %jmp T_37.251;
T_37.250 ;
    %mov 9, 2, 1;
T_37.251 ;
; Save base=9 wid=1 in lookaside.
    %inv 9, 1;
    %and 8, 9, 1;
    %jmp/0xz  T_37.252, 8;
    %movi 8, 5, 4;
    %ix/load 0, 4, 0;
    %assign/v0 v0106ABF0_0, 0, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v0106AC48_0, 0, 0;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.253;
T_37.252 ;
    %ix/load 1, 1, 0;
    %mov 4, 0, 1;
    %jmp/1 T_37.254, 4;
    %load/x1p 8, v0106AC48_0, 1;
    %jmp T_37.255;
T_37.254 ;
    %mov 8, 2, 1;
T_37.255 ;
; Save base=8 wid=1 in lookaside.
    %jmp/0xz  T_37.256, 8;
    %movi 8, 8, 4;
    %ix/load 0, 4, 0;
    %assign/v0 v0106ABF0_0, 0, 8;
    %ix/load 0, 1, 0;
    %ix/load 1, 1, 0;
    %assign/v0/x1 v0106AC48_0, 0, 0;
    %movi 8, 57, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.257;
T_37.256 ;
    %ix/load 1, 2, 0;
    %mov 4, 0, 1;
    %jmp/1 T_37.258, 4;
    %load/x1p 8, v0106AC48_0, 1;
    %jmp T_37.259;
T_37.258 ;
    %mov 8, 2, 1;
T_37.259 ;
; Save base=8 wid=1 in lookaside.
    %jmp/0xz  T_37.260, 8;
    %movi 8, 9, 4;
    %ix/load 0, 4, 0;
    %assign/v0 v0106ABF0_0, 0, 8;
    %ix/load 0, 1, 0;
    %ix/load 1, 2, 0;
    %assign/v0/x1 v0106AC48_0, 0, 0;
    %movi 8, 57, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.261;
T_37.260 ;
    %ix/load 1, 3, 0;
    %mov 4, 0, 1;
    %jmp/1 T_37.262, 4;
    %load/x1p 8, v0106AC48_0, 1;
    %jmp T_37.263;
T_37.262 ;
    %mov 8, 2, 1;
T_37.263 ;
; Save base=8 wid=1 in lookaside.
    %jmp/0xz  T_37.264, 8;
    %movi 8, 11, 4;
    %ix/load 0, 4, 0;
    %assign/v0 v0106ABF0_0, 0, 8;
    %ix/load 0, 1, 0;
    %ix/load 1, 3, 0;
    %assign/v0/x1 v0106AC48_0, 0, 0;
    %movi 8, 57, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.265;
T_37.264 ;
    %ix/load 1, 4, 0;
    %mov 4, 0, 1;
    %jmp/1 T_37.266, 4;
    %load/x1p 8, v0106AC48_0, 1;
    %jmp T_37.267;
T_37.266 ;
    %mov 8, 2, 1;
T_37.267 ;
; Save base=8 wid=1 in lookaside.
    %jmp/0xz  T_37.268, 8;
    %movi 8, 1, 4;
    %ix/load 0, 4, 0;
    %assign/v0 v0106ABF0_0, 0, 8;
    %ix/load 0, 1, 0;
    %ix/load 1, 4, 0;
    %assign/v0/x1 v0106AC48_0, 0, 0;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.269;
T_37.268 ;
    %ix/load 1, 5, 0;
    %mov 4, 0, 1;
    %jmp/1 T_37.270, 4;
    %load/x1p 8, v0106AC48_0, 1;
    %jmp T_37.271;
T_37.270 ;
    %mov 8, 2, 1;
T_37.271 ;
; Save base=8 wid=1 in lookaside.
    %jmp/0xz  T_37.272, 8;
    %movi 8, 2, 4;
    %ix/load 0, 4, 0;
    %assign/v0 v0106ABF0_0, 0, 8;
    %ix/load 0, 1, 0;
    %ix/load 1, 5, 0;
    %assign/v0/x1 v0106AC48_0, 0, 0;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.273;
T_37.272 ;
    %ix/load 1, 6, 0;
    %mov 4, 0, 1;
    %jmp/1 T_37.274, 4;
    %load/x1p 8, v0106AC48_0, 1;
    %jmp T_37.275;
T_37.274 ;
    %mov 8, 2, 1;
T_37.275 ;
; Save base=8 wid=1 in lookaside.
    %jmp/0xz  T_37.276, 8;
    %load/v 8, v01069D18_0, 1;
    %jmp/0  T_37.278, 8;
    %movi 9, 3, 4;
    %jmp/1  T_37.280, 8;
T_37.278 ; End of true expr.
    %movi 13, 4, 4;
    %jmp/0  T_37.279, 8;
 ; End of false expr.
    %blend  9, 13, 4; Condition unknown.
    %jmp  T_37.280;
T_37.279 ;
    %mov 9, 13, 4; Return false value
T_37.280 ;
    %ix/load 0, 4, 0;
    %assign/v0 v0106ABF0_0, 0, 9;
    %ix/load 0, 1, 0;
    %ix/load 1, 6, 0;
    %assign/v0/x1 v0106AC48_0, 0, 0;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.277;
T_37.276 ;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %jmp/1 T_37.281, 4;
    %load/x1p 8, v0106AC48_0, 1;
    %jmp T_37.282;
T_37.281 ;
    %mov 8, 2, 1;
T_37.282 ;
; Save base=8 wid=1 in lookaside.
    %jmp/0xz  T_37.283, 8;
    %movi 8, 5, 4;
    %ix/load 0, 4, 0;
    %assign/v0 v0106ABF0_0, 0, 8;
    %ix/load 0, 1, 0;
    %ix/load 1, 7, 0;
    %assign/v0/x1 v0106AC48_0, 0, 0;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
T_37.283 ;
T_37.277 ;
T_37.273 ;
T_37.269 ;
T_37.265 ;
T_37.261 ;
T_37.257 ;
T_37.253 ;
T_37.249 ;
    %jmp T_37.247;
T_37.246 ;
    %movi 8, 9, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
T_37.247 ;
    %jmp T_37.84;
T_37.64 ;
    %load/v 8, v0106B698_0, 8; Only need 8 of 16 bits
; Save base=8 wid=8 in lookaside.
    %ix/load 0, 8, 0;
    %assign/v0 v01069ED0_0, 0, 8;
    %movi 8, 35, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v01069F80_0, 0, 1;
    %load/v 8, v0106B7A0_0, 16;
    %subi 8, 1, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v01069E78_0, 0, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v01069FD8_0, 0, 1;
    %jmp T_37.84;
T_37.65 ;
    %load/v 8, v0106ABF0_0, 4;
   %cmpi/u 8, 8, 4;
    %jmp/0xz  T_37.285, 5;
    %movi 8, 36, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.286;
T_37.285 ;
    %load/v 8, v0106AC48_0, 4; Select 4 out of 8 bits
    %mov 12, 0, 1;
    %cmp/u 0, 8, 5;
    %jmp/0xz  T_37.287, 5;
    %movi 8, 32, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.288;
T_37.287 ;
    %load/v 8, v0106B068_0, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
T_37.288 ;
T_37.286 ;
    %load/v 8, v01069E78_0, 16;
    %subi 8, 1, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v01069E78_0, 0, 8;
    %jmp T_37.84;
T_37.66 ;
    %ix/load 1, 8, 0;
    %mov 4, 0, 1;
    %jmp/1 T_37.289, 4;
    %load/x1p 8, v0106B698_0, 8;
    %jmp T_37.290;
T_37.289 ;
    %mov 8, 2, 8;
T_37.290 ;
; Save base=8 wid=8 in lookaside.
    %ix/load 0, 8, 0;
    %assign/v0 v01069ED0_0, 0, 8;
    %movi 8, 37, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v01069F80_0, 0, 1;
    %movi 8, 8, 4;
    %load/v 12, v0106ABF0_0, 4;
    %cmp/u 8, 12, 4;
    %or 5, 4, 1;
    %jmp/0xz  T_37.291, 5;
    %load/v 8, v0106B7A0_0, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v01069E78_0, 0, 8;
T_37.291 ;
    %ix/load 0, 1, 0;
    %assign/v0 v01069FD8_0, 0, 1;
    %jmp T_37.84;
T_37.67 ;
    %load/v 8, v0106B0C0_0, 6;
    %mov 14, 0, 3;
    %cmpi/u 8, 27, 9;
    %jmp/0xz  T_37.293, 4;
    %ix/load 0, 1, 0;
    %assign/v0 v0106AF08_0, 0, 1;
T_37.293 ;
    %load/v 8, v0106B0C0_0, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.84;
T_37.68 ;
    %load/v 8, v0106B6F0_0, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v01069E78_0, 0, 8;
    %movi 8, 49, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v0106A1E8_0, 0, 1;
    %jmp T_37.84;
T_37.69 ;
    %ix/load 0, 1, 0;
    %assign/v0 v01069F28_0, 0, 1;
    %movi 8, 50, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.84;
T_37.70 ;
    %load/v 8, v01069C10_0, 3;
    %cmpi/u 8, 7, 3;
    %jmp/1 T_37.295, 6;
    %cmpi/u 8, 1, 3;
    %jmp/1 T_37.296, 6;
    %cmpi/u 8, 5, 3;
    %jmp/1 T_37.297, 6;
    %cmpi/u 8, 4, 3;
    %jmp/1 T_37.298, 6;
    %jmp T_37.299;
T_37.295 ;
    %load/v 8, v01068F28_0, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v0106A3F8_0, 0, 8;
    %jmp T_37.299;
T_37.296 ;
    %load/v 8, v01068F28_0, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v0106A3F8_0, 0, 8;
    %jmp T_37.299;
T_37.297 ;
    %load/v 8, v01068F28_0, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v0106A030_0, 0, 8;
    %jmp T_37.299;
T_37.298 ;
    %load/v 8, v01068F28_0, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v0106A9E0_0, 0, 8;
    %jmp T_37.299;
T_37.299 ;
    %movi 8, 51, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.84;
T_37.71 ;
    %load/v 8, v0106B6F0_0, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v01069E78_0, 0, 8;
    %movi 8, 52, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v0106A1E8_0, 0, 1;
    %jmp T_37.84;
T_37.72 ;
    %ix/load 0, 1, 0;
    %assign/v0 v01069F28_0, 0, 1;
    %movi 8, 53, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.84;
T_37.73 ;
    %load/v 8, v01069C10_0, 3;
    %cmpi/u 8, 0, 3;
    %jmp/1 T_37.300, 6;
    %cmpi/u 8, 6, 3;
    %jmp/1 T_37.301, 6;
    %cmpi/u 8, 7, 3;
    %jmp/1 T_37.302, 6;
    %cmpi/u 8, 1, 3;
    %jmp/1 T_37.303, 6;
    %cmpi/u 8, 3, 3;
    %jmp/1 T_37.304, 6;
    %cmpi/u 8, 5, 3;
    %jmp/1 T_37.305, 6;
    %cmpi/u 8, 4, 3;
    %jmp/1 T_37.306, 6;
    %jmp T_37.307;
T_37.300 ;
    %load/v 8, v01068F28_0, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v0106AC48_0, 0, 8;
    %jmp T_37.307;
T_37.301 ;
    %load/v 8, v01068F28_0, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v0106A450_0, 0, 8;
    %jmp T_37.307;
T_37.302 ;
    %load/v 8, v01068F28_0, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v0106A450_0, 0, 8;
    %jmp T_37.307;
T_37.303 ;
    %load/v 8, v01068F28_0, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v0106A450_0, 0, 8;
    %jmp T_37.307;
T_37.304 ;
    %load/v 8, v01068F28_0, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v0106A088_0, 0, 8;
    %jmp T_37.307;
T_37.305 ;
    %load/v 8, v01068F28_0, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v0106A088_0, 0, 8;
    %jmp T_37.307;
T_37.306 ;
    %load/v 8, v01068F28_0, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v0106AA38_0, 0, 8;
    %jmp T_37.307;
T_37.307 ;
    %load/v 8, v0106B0C0_0, 6;
    %mov 14, 0, 3;
    %cmpi/u 8, 27, 9;
    %mov 8, 4, 1;
    %load/v 9, v010697F0_0, 1;
    %and 8, 9, 1;
    %jmp/0xz  T_37.308, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v0106AF08_0, 0, 1;
T_37.308 ;
    %load/v 8, v0106B0C0_0, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.84;
T_37.74 ;
    %load/v 8, v0106A088_0, 8;
    %load/v 16, v0106A030_0, 8;
    %ix/load 0, 16, 0;
    %assign/v0 v01069E78_0, 0, 8;
    %movi 8, 55, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %load/v 8, v0106A0E0_0, 1;
    %inv 8, 1;
    %jmp/0xz  T_37.310, 8;
    %load/v 8, v01069C10_0, 3;
    %cmpi/u 8, 4, 3;
    %jmp/1 T_37.312, 6;
    %load/v 8, v0106B328_0, 1;
    %load/v 9, v0106B3D8_0, 1;
    %or 8, 9, 1;
    %load/v 9, v0106B430_0, 1;
    %or 8, 9, 1;
    %jmp/0xz  T_37.315, 8;
    %load/v 8, v0106B7A0_0, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v01069E78_0, 0, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v0106A240_0, 0, 1;
    %jmp T_37.316;
T_37.315 ;
    %load/v 8, v0106A088_0, 8;
    %load/v 16, v0106A030_0, 8;
    %ix/load 0, 16, 0;
    %assign/v0 v01069E78_0, 0, 8;
T_37.316 ;
    %jmp T_37.314;
T_37.312 ;
    %load/v 8, v0106A2F0_0, 1;
    %jmp/0xz  T_37.317, 8;
    %load/v 8, v0106A450_0, 8;
    %load/v 16, v0106A3F8_0, 8;
    %ix/load 0, 16, 0;
    %assign/v0 v01069E78_0, 0, 8;
    %jmp T_37.318;
T_37.317 ;
    %load/v 8, v0106B640_0, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v01069E78_0, 0, 8;
T_37.318 ;
    %jmp T_37.314;
T_37.314 ;
    %load/v 8, v0106A190_0, 1;
    %load/v 9, v01069CC0_0, 1;
    %or 8, 9, 1;
    %load/v 9, v0106ABF0_0, 4;
   %cmpi/u 9, 8, 4;
    %mov 9, 5, 1;
    %or 8, 9, 1;
    %inv 8, 1;
    %jmp/0xz  T_37.319, 8;
    %movi 8, 58, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
T_37.319 ;
T_37.310 ;
    %ix/load 0, 1, 0;
    %assign/v0 v0106A190_0, 0, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0106A0E0_0, 0, 0;
    %jmp T_37.84;
T_37.75 ;
    %ix/load 0, 1, 0;
    %assign/v0 v01069F28_0, 0, 1;
    %movi 8, 56, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.84;
T_37.76 ;
    %load/v 8, v01068F28_0, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v0106A3F8_0, 0, 8;
    %movi 8, 58, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %ix/load 0, 1, 0;
    %load/vp0 8, v01069E78_0, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v01069E78_0, 0, 8;
    %load/v 8, v0106B328_0, 1;
    %load/v 9, v0106B3D8_0, 1;
    %or 8, 9, 1;
    %load/v 9, v0106B430_0, 1;
    %or 8, 9, 1;
    %jmp/0xz  T_37.321, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v0106A240_0, 0, 1;
T_37.321 ;
    %jmp T_37.84;
T_37.77 ;
    %load/v 8, v0106B328_0, 1;
    %load/v 9, v0106B3D8_0, 1;
    %or 8, 9, 1;
    %load/v 9, v0106B430_0, 1;
    %or 8, 9, 1;
    %jmp/0xz  T_37.323, 8;
    %load/v 8, v0106B7A0_0, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v01069E78_0, 0, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v0106A240_0, 0, 1;
T_37.323 ;
    %movi 8, 58, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.84;
T_37.78 ;
    %ix/load 0, 1, 0;
    %assign/v0 v01069F28_0, 0, 1;
    %movi 8, 59, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.84;
T_37.79 ;
    %load/v 8, v01068F28_0, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v0106A450_0, 0, 8;
    %load/v 8, v0106B328_0, 1;
    %load/v 9, v0106B3D8_0, 1;
    %or 8, 9, 1;
    %load/v 9, v0106B430_0, 1;
    %or 8, 9, 1;
    %jmp/0xz  T_37.325, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v0106AE58_0, 0, 1;
T_37.325 ;
    %load/v 8, v0106B010_0, 6;
    %mov 14, 0, 3;
    %cmpi/u 8, 8, 9;
    %jmp/0xz  T_37.327, 4;
    %ix/load 0, 1, 0;
    %assign/v0 v0106AF08_0, 0, 1;
T_37.327 ;
    %load/v 8, v01069C10_0, 3;
    %cmpi/u 8, 4, 3;
    %jmp/1 T_37.329, 6;
    %load/v 8, v0106B010_0, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.331;
T_37.329 ;
    %load/v 8, v0106A2F0_0, 1;
    %load/v 9, v010698A0_0, 1;
    %inv 9, 1;
    %or 8, 9, 1;
    %jmp/0xz  T_37.332, 8;
    %load/v 8, v0106B010_0, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.333;
T_37.332 ;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v0106A2F0_0, 0, 1;
T_37.333 ;
    %jmp T_37.331;
T_37.331 ;
    %jmp T_37.84;
T_37.80 ;
    %load/v 8, v01069C10_0, 3;
    %cmpi/u 8, 4, 3;
    %jmp/1 T_37.334, 6;
    %load/v 8, v0106A088_0, 8;
    %load/v 16, v0106A030_0, 8;
    %ix/load 0, 16, 0;
    %assign/v0 v01069E78_0, 0, 8;
    %jmp T_37.336;
T_37.334 ;
    %load/v 8, v0106B640_0, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v01069E78_0, 0, 8;
    %jmp T_37.336;
T_37.336 ;
    %ix/load 1, 8, 0;
    %mov 4, 0, 1;
    %jmp/1 T_37.337, 4;
    %load/x1p 8, v010693A0_0, 8;
    %jmp T_37.338;
T_37.337 ;
    %mov 8, 2, 8;
T_37.338 ;
; Save base=8 wid=8 in lookaside.
    %ix/load 0, 8, 0;
    %assign/v0 v01069ED0_0, 0, 8;
    %movi 8, 61, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %ix/load 0, 1, 0;
    %assign/v0 v01069F80_0, 0, 1;
    %jmp T_37.84;
T_37.81 ;
    %movi 8, 62, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %ix/load 0, 1, 0;
    %load/vp0 8, v01069E78_0, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v01069E78_0, 0, 8;
    %jmp T_37.84;
T_37.82 ;
    %load/v 8, v01069798_0, 1;
    %inv 8, 1;
    %jmp/0xz  T_37.339, 8;
    %load/v 8, v01069C10_0, 3;
    %cmpi/u 8, 4, 3;
    %jmp/1 T_37.341, 6;
    %load/v 8, v0106A088_0, 8;
    %load/v 16, v0106A030_0, 8;
    %ix/load 0, 16, 0;
    %assign/v0 v01069E78_0, 0, 8;
    %jmp T_37.343;
T_37.341 ;
    %load/v 8, v0106B640_0, 16;
    %ix/load 0, 16, 0;
    %assign/v0 v01069E78_0, 0, 8;
    %jmp T_37.343;
T_37.343 ;
T_37.339 ;
    %load/v 8, v010693A0_0, 8; Only need 8 of 16 bits
; Save base=8 wid=8 in lookaside.
    %ix/load 0, 8, 0;
    %assign/v0 v01069ED0_0, 0, 8;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 1;
    %ix/load 0, 1, 0;
    %assign/v0 v01069F80_0, 0, 1;
    %jmp T_37.84;
T_37.83 ;
    %load/v 8, v01069A00_0, 1;
    %load/v 9, v01069C10_0, 3;
    %cmpi/u 9, 4, 3;
    %mov 9, 4, 1;
    %and 8, 9, 1;
    %ix/load 0, 1, 0;
    %assign/v0 v0106AF60_0, 0, 8;
    %load/v 8, v0106B010_0, 6;
    %ix/load 0, 6, 0;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.84;
T_37.84 ;
T_37.1 ;
    %jmp T_37;
    .thread T_37;
    .scope S_00B18D40;
T_38 ;
    %set/v v0106A3A0_0, 0, 3;
    %set/v v01069F28_0, 0, 1;
    %set/v v01069F80_0, 0, 1;
    %set/v v0106A930_0, 1, 16;
    %set/v v0106AFB8_0, 0, 1;
    %set/v v0106AEB0_0, 0, 1;
    %set/v v0106A4A8_0, 0, 1;
    %set/v v0106AE58_0, 0, 1;
    %set/v v0106A2F0_0, 0, 1;
    %end;
    .thread T_38;
    .scope S_00B18DC8;
T_39 ;
    %wait E_00AF1C58;
    %ix/getv 3, v00B12930_0;
    %load/av 8, v00B12A90, 8;
    %ix/load 0, 8, 0;
    %assign/v0 v00B12A38_0, 0, 8;
    %jmp T_39;
    .thread T_39;
    .scope S_00B18DC8;
T_40 ;
    %wait E_00AF1738;
    %load/v 8, v00B12988_0, 8;
    %ix/getv 3, v00B12930_0;
    %jmp/1 t_0, 4;
    %ix/load 0, 8, 0; word width
    %ix/load 1, 0, 0; part off
    %assign/av v00B12A90, 0, 8;
t_0 ;
    %vpi_call 2 86 "$display", "W %04x = %02x %t", v00B12930_0, v00B12988_0, $time;
    %jmp T_40;
    .thread T_40;
    .scope S_00B18DC8;
T_41 ;
    %wait E_00AF1C58;
    %vpi_call 2 91 "$display", "R %04x = %02x %t", v00B12930_0, &A<v00B12A90, v00B12930_0 >, $time;
    %jmp T_41;
    .thread T_41;
    .scope S_00B18DC8;
T_42 ;
    %vpi_call 2 99 "$readmemh", "instructions_test.hex", v00B12A90;
    %vpi_call 2 100 "$display", "instructions_test.hex read";
   %ix/load 1, 0, 0;
   %ix/load 3, 65534, 0;
   %set/av v00B12A90, 0, 8;
   %ix/load 1, 0, 0;
   %ix/load 3, 65535, 0;
   %set/av v00B12A90, 0, 8;
   %ix/load 1, 0, 0;
   %ix/load 3, 65532, 0;
   %set/av v00B12A90, 0, 8;
    %movi 8, 113, 8;
   %ix/load 1, 0, 0;
   %ix/load 3, 65533, 0;
   %set/av v00B12A90, 8, 8;
   %ix/load 1, 0, 0;
   %ix/load 3, 65530, 0;
   %set/av v00B12A90, 0, 8;
    %movi 8, 113, 8;
   %ix/load 1, 0, 0;
   %ix/load 3, 65531, 0;
   %set/av v00B12A90, 8, 8;
   %ix/load 1, 0, 0;
   %ix/load 3, 65528, 0;
   %set/av v00B12A90, 0, 8;
    %movi 8, 113, 8;
   %ix/load 1, 0, 0;
   %ix/load 3, 65529, 0;
   %set/av v00B12A90, 8, 8;
   %ix/load 1, 0, 0;
   %ix/load 3, 65526, 0;
   %set/av v00B12A90, 0, 8;
    %movi 8, 113, 8;
   %ix/load 1, 0, 0;
   %ix/load 3, 65527, 0;
   %set/av v00B12A90, 8, 8;
    %end;
    .thread T_42;
    .scope S_00B19318;
T_43 ;
    %delay 5, 0;
    %load/v 8, v0106B930_0, 1;
    %inv 8, 1;
    %set/v v0106B930_0, 8, 1;
    %jmp T_43;
    .thread T_43;
    .scope S_00B19318;
T_44 ;
    %vpi_call 2 37 "$dumpvars";
    %set/v v0106B930_0, 0, 1;
    %set/v v0106BBF0_0, 1, 1;
    %set/v v0106BAE8_0, 1, 1;
    %set/v v0106BA90_0, 1, 1;
    %set/v v0106BB40_0, 1, 1;
    %delay 0, 0;
    %delay 46, 0;
    %set/v v0106BBF0_0, 0, 1;
    %delay 500, 0;
    %set/v v0106BB40_0, 0, 1;
    %delay 22, 0;
    %set/v v0106BB40_0, 1, 1;
    %delay 1000, 0;
    %set/v v0106BA90_0, 0, 1;
    %delay 22, 0;
    %set/v v0106BA90_0, 1, 1;
    %delay 1000, 0;
    %delay 10000, 0;
    %vpi_call 2 57 "$finish";
    %end;
    .thread T_44;
# The file index is used to find the file name in the following table.
:file_names 7;
    "N/A";
    "<interactive>";
    "tb_irq.v";
    "../rtl/verilog/MC6809_cpu.v";
    "../rtl/verilog/alu16.v";
    "../rtl/verilog/regblock.v";
    "../rtl/verilog/decoders.v";

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.