OpenCores
URL https://opencores.org/ocsvn/6809_6309_compatible_core/6809_6309_compatible_core/trunk

Subversion Repositories 6809_6309_compatible_core

[/] [6809_6309_compatible_core/] [trunk/] [syn/] [lattice/] [P6809/] [P6809.edi] - Rev 4

Go to most recent revision | Compare with Previous | Blame | View Log

(edif CC3_top
  (edifVersion 2 0 0)
  (edifLevel 0)
  (keywordMap (keywordLevel 0))
  (status
    (written
      (timeStamp 2013 12 29 7 16 48)
      (author "Synopsys, Inc.")
      (program "Synplify Pro" (version "G-2012.09L-SP1 , mapper maplat, Build 618R"))
     )
   )
  (library LUCENT
    (edifLevel 0)
    (technology (numberDefinition ))
    (cell CCU2D (cellType GENERIC)
       (view PRIM (viewType NETLIST)
         (interface
           (port A0 (direction INPUT))
           (port B0 (direction INPUT))
           (port C0 (direction INPUT))
           (port D0 (direction INPUT))
           (port A1 (direction INPUT))
           (port B1 (direction INPUT))
           (port C1 (direction INPUT))
           (port D1 (direction INPUT))
           (port CIN (direction INPUT))
           (port COUT (direction OUTPUT))
           (port S0 (direction OUTPUT))
           (port S1 (direction OUTPUT))
         )
        (property INJECT1_1 (string "NO"))
        (property INJECT1_0 (string "NO"))
        (property INIT1 (string "0000"))
        (property INIT0 (string "0000"))
       )
    )
    (cell DP8KC (cellType GENERIC)
       (view PRIM (viewType NETLIST)
         (interface
           (port DOA0 (direction OUTPUT))
           (port DOA1 (direction OUTPUT))
           (port DOA2 (direction OUTPUT))
           (port DOA3 (direction OUTPUT))
           (port DOA4 (direction OUTPUT))
           (port DOA5 (direction OUTPUT))
           (port DOA6 (direction OUTPUT))
           (port DOA7 (direction OUTPUT))
           (port DOA8 (direction OUTPUT))
           (port DOB0 (direction OUTPUT))
           (port DOB1 (direction OUTPUT))
           (port DOB2 (direction OUTPUT))
           (port DOB3 (direction OUTPUT))
           (port DOB4 (direction OUTPUT))
           (port DOB5 (direction OUTPUT))
           (port DOB6 (direction OUTPUT))
           (port DOB7 (direction OUTPUT))
           (port DOB8 (direction OUTPUT))
           (port DIA0 (direction INPUT))
           (port DIA1 (direction INPUT))
           (port DIA2 (direction INPUT))
           (port DIA3 (direction INPUT))
           (port DIA4 (direction INPUT))
           (port DIA5 (direction INPUT))
           (port DIA6 (direction INPUT))
           (port DIA7 (direction INPUT))
           (port DIA8 (direction INPUT))
           (port DIB0 (direction INPUT))
           (port DIB1 (direction INPUT))
           (port DIB2 (direction INPUT))
           (port DIB3 (direction INPUT))
           (port DIB4 (direction INPUT))
           (port DIB5 (direction INPUT))
           (port DIB6 (direction INPUT))
           (port DIB7 (direction INPUT))
           (port DIB8 (direction INPUT))
           (port ADA0 (direction INPUT))
           (port ADA1 (direction INPUT))
           (port ADA2 (direction INPUT))
           (port ADA3 (direction INPUT))
           (port ADA4 (direction INPUT))
           (port ADA5 (direction INPUT))
           (port ADA6 (direction INPUT))
           (port ADA7 (direction INPUT))
           (port ADA8 (direction INPUT))
           (port ADA9 (direction INPUT))
           (port ADA10 (direction INPUT))
           (port ADA11 (direction INPUT))
           (port ADA12 (direction INPUT))
           (port ADB0 (direction INPUT))
           (port ADB1 (direction INPUT))
           (port ADB2 (direction INPUT))
           (port ADB3 (direction INPUT))
           (port ADB4 (direction INPUT))
           (port ADB5 (direction INPUT))
           (port ADB6 (direction INPUT))
           (port ADB7 (direction INPUT))
           (port ADB8 (direction INPUT))
           (port ADB9 (direction INPUT))
           (port ADB10 (direction INPUT))
           (port ADB11 (direction INPUT))
           (port ADB12 (direction INPUT))
           (port WEA (direction INPUT))
           (port WEB (direction INPUT))
           (port RSTA (direction INPUT))
           (port RSTB (direction INPUT))
           (port CSA0 (direction INPUT))
           (port CSA1 (direction INPUT))
           (port CSA2 (direction INPUT))
           (port CSB0 (direction INPUT))
           (port CSB1 (direction INPUT))
           (port CSB2 (direction INPUT))
           (port CLKA (direction INPUT))
           (port CLKB (direction INPUT))
           (port CEA (direction INPUT))
           (port CEB (direction INPUT))
           (port OCEA (direction INPUT))
           (port OCEB (direction INPUT))
         )
        (property INITVAL_1F (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
        (property INITVAL_1E (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
        (property INITVAL_1D (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
        (property INITVAL_1C (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
        (property INITVAL_1B (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
        (property INITVAL_1A (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
        (property INITVAL_19 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
        (property INITVAL_18 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
        (property INITVAL_17 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
        (property INITVAL_16 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
        (property INITVAL_15 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
        (property INITVAL_14 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
        (property INITVAL_13 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
        (property INITVAL_12 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
        (property INITVAL_11 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
        (property INITVAL_10 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
        (property INITVAL_0F (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
        (property INITVAL_0E (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
        (property INITVAL_0D (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
        (property INITVAL_0C (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
        (property INITVAL_0B (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
        (property INITVAL_0A (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
        (property INITVAL_09 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
        (property INITVAL_08 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
        (property INITVAL_07 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
        (property INITVAL_06 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
        (property INITVAL_05 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
        (property INITVAL_04 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
        (property INITVAL_03 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
        (property INITVAL_02 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
        (property INITVAL_01 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
        (property INITVAL_00 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
        (property GSR (string "DISABLED"))
        (property WRITEMODE_B (string "NORMAL"))
        (property WRITEMODE_A (string "NORMAL"))
        (property RESETMODE (string "SYNC"))
        (property REGMODE_B (string "NOREG"))
        (property REGMODE_A (string "NOREG"))
        (property DATA_WIDTH_B (integer 9))
        (property DATA_WIDTH_A (integer 9))
       )
    )
    (cell OB (cellType GENERIC)
       (view PRIM (viewType NETLIST)
         (interface
           (port I (direction INPUT))
           (port O (direction OUTPUT))
         )
       )
    )
    (cell IB (cellType GENERIC)
       (view PRIM (viewType NETLIST)
         (interface
           (port I (direction INPUT))
           (port O (direction OUTPUT))
         )
       )
    )
    (cell FD1S3AX (cellType GENERIC)
       (view PRIM (viewType NETLIST)
         (interface
           (port D (direction INPUT))
           (port CK (direction INPUT))
           (port Q (direction OUTPUT))
         )
       )
    )
    (cell FD1P3JX (cellType GENERIC)
       (view PRIM (viewType NETLIST)
         (interface
           (port D (direction INPUT))
           (port SP (direction INPUT))
           (port CK (direction INPUT))
           (port PD (direction INPUT))
           (port Q (direction OUTPUT))
         )
       )
    )
    (cell FD1P3IX (cellType GENERIC)
       (view PRIM (viewType NETLIST)
         (interface
           (port D (direction INPUT))
           (port SP (direction INPUT))
           (port CK (direction INPUT))
           (port CD (direction INPUT))
           (port Q (direction OUTPUT))
         )
       )
    )
    (cell FD1P3DX (cellType GENERIC)
       (view PRIM (viewType NETLIST)
         (interface
           (port D (direction INPUT))
           (port SP (direction INPUT))
           (port CK (direction INPUT))
           (port CD (direction INPUT))
           (port Q (direction OUTPUT))
         )
       )
    )
    (cell OFS1P3DX (cellType GENERIC)
       (view PRIM (viewType NETLIST)
         (interface
           (port D (direction INPUT))
           (port SP (direction INPUT))
           (port SCLK (direction INPUT))
           (port CD (direction INPUT))
           (port Q (direction OUTPUT))
         )
       )
    )
    (cell FD1P3AX (cellType GENERIC)
       (view PRIM (viewType NETLIST)
         (interface
           (port D (direction INPUT))
           (port SP (direction INPUT))
           (port CK (direction INPUT))
           (port Q (direction OUTPUT))
         )
       )
    )
    (cell ORCALUT4 (cellType GENERIC)
       (view PRIM (viewType NETLIST)
         (interface
           (port A (direction INPUT))
           (port B (direction INPUT))
           (port C (direction INPUT))
           (port D (direction INPUT))
           (port Z (direction OUTPUT))
         )
       )
    )
    (cell L6MUX21 (cellType GENERIC)
       (view PRIM (viewType NETLIST)
         (interface
           (port D1 (direction INPUT))
           (port D0 (direction INPUT))
           (port SD (direction INPUT))
           (port Z (direction OUTPUT))
         )
       )
    )
    (cell PFUMX (cellType GENERIC)
       (view PRIM (viewType NETLIST)
         (interface
           (port ALUT (direction INPUT))
           (port BLUT (direction INPUT))
           (port C0 (direction INPUT))
           (port Z (direction OUTPUT))
         )
       )
    )
    (cell GSR (cellType GENERIC)
       (view PRIM (viewType NETLIST)
         (interface
           (port GSR (direction INPUT))
         )
       )
    )
    (cell INV (cellType GENERIC)
       (view PRIM (viewType NETLIST)
         (interface
           (port A (direction INPUT))
           (port Z (direction OUTPUT))
         )
       )
    )
    (cell VHI (cellType GENERIC)
       (view PRIM (viewType NETLIST)
         (interface
           (port Z (direction OUTPUT))
         )
        (property is_pwr (integer 1))
       )
    )
    (cell VLO (cellType GENERIC)
       (view PRIM (viewType NETLIST)
         (interface
           (port Z (direction OUTPUT))
         )
        (property is_pwr (integer 1))
       )
    )
  )
  (library work
    (edifLevel 0)
    (technology (numberDefinition ))
    (cell test_condition (cellType GENERIC)
       (view netlist (viewType NETLIST)
         (interface
           (port (array (rename k_postbyte0 "k_postbyte0[7:0]") 8) (direction INPUT))
           (port (array (rename k_opcode "k_opcode[7:0]") 8) (direction INPUT))
           (port (array (rename datamux_o_destf "datamux_o_destf[1:1]") 1) (direction INPUT))
           (port (array (rename alu_o_ccr "alu_o_CCR[1:1]") 1) (direction INPUT))
           (port (array (rename regs_o_ccr "regs_o_CCR[3:0]") 4) (direction INPUT))
           (port k_p2_valid (direction INPUT))
           (port un1_dec_o_write_flagsf (direction INPUT))
           (port dec_o_cond_taken (direction OUTPUT))
         )
         (contents
          (instance cond_taken4 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance cond_taken4_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A))"))
          )
          (instance cond_taken4_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A)))"))
          )
          (instance cond_taken_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance cond_taken_15_0_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D B+D (!C !A+C A))"))
          )
          (instance cond_taken_15_0_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C !A+C A)+D (!C (!B !A)+C (B+A)))"))
          )
          (instance cond_taken_15_0 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance cond_taken_8_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C !B+C (!B !A+B A))"))
          )
          (instance cond_taken_8_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C !A+C A)+D (!C !B+C B))"))
          )
          (instance cond_taken_8 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance cond_taken_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C B+C !B)+D (!C A+C !A))"))
          )
          (instance cond_taken_9_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B+!A)+C (B+A))"))
          )
          (instance cond_taken67 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B !A)))"))
          )
          (instance un1_op_3_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (!B A)))"))
          )
          (instance un1_op_4_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (B A)))"))
          )
          (instance (rename op_0 "op[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance (rename op_1 "op[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance (rename op_2 "op[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance (rename op_3 "op[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance (rename op_4 "op[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance (rename op_5 "op[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance (rename op_6 "op[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance (rename op_7 "op[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance cond_taken_16 (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT)))          )
          (instance GND (viewRef PRIM (cellRef VLO (libraryRef LUCENT)))          )
          (net cond_taken4_bm (joined
           (portRef Z (instanceRef cond_taken4_bm))
           (portRef ALUT (instanceRef cond_taken4))
          ))
          (net cond_taken4_am (joined
           (portRef Z (instanceRef cond_taken4_am))
           (portRef BLUT (instanceRef cond_taken4))
          ))
          (net (rename op_4 "op[4]") (joined
           (portRef Z (instanceRef op_4))
           (portRef A (instanceRef cond_taken67))
           (portRef C0 (instanceRef cond_taken4))
          ))
          (net cond_taken4 (joined
           (portRef Z (instanceRef cond_taken4))
           (portRef B (instanceRef cond_taken_17))
          ))
          (net un1_op_3_4 (joined
           (portRef Z (instanceRef un1_op_3_4))
           (portRef A (instanceRef cond_taken4_bm))
          ))
          (net (rename op_1 "op[1]") (joined
           (portRef Z (instanceRef op_1))
           (portRef SD (instanceRef cond_taken_16))
           (portRef D (instanceRef cond_taken4_am))
           (portRef B (instanceRef cond_taken4_bm))
          ))
          (net (rename op_3 "op[3]") (joined
           (portRef Z (instanceRef op_3))
           (portRef C (instanceRef un1_op_4_5))
           (portRef D (instanceRef cond_taken_8_bm))
           (portRef C (instanceRef cond_taken_8_am))
           (portRef D (instanceRef cond_taken_15_0_bm))
           (portRef D (instanceRef cond_taken_15_0_am))
           (portRef C (instanceRef cond_taken4_bm))
          ))
          (net un1_op_4_5 (joined
           (portRef Z (instanceRef un1_op_4_5))
           (portRef A (instanceRef cond_taken4_am))
          ))
          (net (rename op_7 "op[7]") (joined
           (portRef Z (instanceRef op_7))
           (portRef D (instanceRef un1_op_3_4))
           (portRef D (instanceRef cond_taken67))
           (portRef B (instanceRef cond_taken4_am))
          ))
          (net (rename op_5 "op[5]") (joined
           (portRef Z (instanceRef op_5))
           (portRef B (instanceRef un1_op_3_4))
           (portRef B (instanceRef cond_taken67))
           (portRef C (instanceRef cond_taken4_am))
          ))
          (net N_66 (joined
           (portRef Z (instanceRef cond_taken_16))
           (portRef A (instanceRef cond_taken_17))
          ))
          (net cond_taken67 (joined
           (portRef Z (instanceRef cond_taken67))
           (portRef C (instanceRef cond_taken_17))
          ))
          (net dec_o_cond_taken (joined
           (portRef Z (instanceRef cond_taken_17))
           (portRef dec_o_cond_taken)
          ))
          (net N_59 (joined
           (portRef Z (instanceRef cond_taken_9_0))
           (portRef B (instanceRef cond_taken_15_0_am))
          ))
          (net (rename op_0 "op[0]") (joined
           (portRef Z (instanceRef op_0))
           (portRef A (instanceRef un1_op_4_5))
           (portRef C (instanceRef cond_taken_9_0))
           (portRef C (instanceRef cond_taken_8_bm))
           (portRef B (instanceRef cond_taken_8_am))
           (portRef C (instanceRef cond_taken_15_0_bm))
           (portRef C (instanceRef cond_taken_15_0_am))
          ))
          (net cond_taken_15_0_am (joined
           (portRef Z (instanceRef cond_taken_15_0_am))
           (portRef BLUT (instanceRef cond_taken_15_0))
          ))
          (net cond_taken_14 (joined
           (portRef Z (instanceRef cond_taken_13))
           (portRef B (instanceRef cond_taken_8_bm))
           (portRef B (instanceRef cond_taken_15_0_bm))
          ))
          (net cond_taken_15_0_bm (joined
           (portRef Z (instanceRef cond_taken_15_0_bm))
           (portRef ALUT (instanceRef cond_taken_15_0))
          ))
          (net (rename op_2 "op[2]") (joined
           (portRef Z (instanceRef op_2))
           (portRef B (instanceRef un1_op_4_5))
           (portRef A (instanceRef un1_op_3_4))
           (portRef C0 (instanceRef cond_taken_8))
           (portRef C0 (instanceRef cond_taken_15_0))
          ))
          (net N_65 (joined
           (portRef Z (instanceRef cond_taken_15_0))
           (portRef D1 (instanceRef cond_taken_16))
          ))
          (net (rename regs_o_CCR_1 "regs_o_CCR[1]") (joined
           (portRef (member regs_o_ccr 2))
           (portRef A (instanceRef cond_taken_8_am))
          ))
          (net cond_taken_8_am (joined
           (portRef Z (instanceRef cond_taken_8_am))
           (portRef BLUT (instanceRef cond_taken_8))
          ))
          (net cond_taken_8_bm (joined
           (portRef Z (instanceRef cond_taken_8_bm))
           (portRef ALUT (instanceRef cond_taken_8))
          ))
          (net N_58 (joined
           (portRef Z (instanceRef cond_taken_8))
           (portRef D0 (instanceRef cond_taken_16))
          ))
          (net (rename alu_o_CCR_1 "alu_o_CCR[1]") (joined
           (portRef (member alu_o_ccr 0))
           (portRef A (instanceRef cond_taken_13))
          ))
          (net (rename datamux_o_destf_1 "datamux_o_destf[1]") (joined
           (portRef (member datamux_o_destf 0))
           (portRef B (instanceRef cond_taken_13))
          ))
          (net (rename regs_o_CCR_3 "regs_o_CCR[3]") (joined
           (portRef (member regs_o_ccr 0))
           (portRef C (instanceRef cond_taken_13))
           (portRef A (instanceRef cond_taken_15_0_am))
          ))
          (net un1_dec_o_write_flagsf (joined
           (portRef un1_dec_o_write_flagsf)
           (portRef D (instanceRef cond_taken_13))
          ))
          (net (rename regs_o_CCR_0 "regs_o_CCR[0]") (joined
           (portRef (member regs_o_ccr 3))
           (portRef A (instanceRef cond_taken_9_0))
           (portRef A (instanceRef cond_taken_8_bm))
          ))
          (net (rename regs_o_CCR_2 "regs_o_CCR[2]") (joined
           (portRef (member regs_o_ccr 1))
           (portRef B (instanceRef cond_taken_9_0))
           (portRef A (instanceRef cond_taken_15_0_bm))
          ))
          (net (rename op_6 "op[6]") (joined
           (portRef Z (instanceRef op_6))
           (portRef D (instanceRef un1_op_4_5))
           (portRef C (instanceRef un1_op_3_4))
           (portRef C (instanceRef cond_taken67))
          ))
          (net (rename k_opcode_0 "k_opcode[0]") (joined
           (portRef (member k_opcode 7))
           (portRef A (instanceRef op_0))
          ))
          (net (rename k_postbyte0_0 "k_postbyte0[0]") (joined
           (portRef (member k_postbyte0 7))
           (portRef C (instanceRef op_0))
          ))
          (net (rename k_opcode_1 "k_opcode[1]") (joined
           (portRef (member k_opcode 6))
           (portRef A (instanceRef op_1))
          ))
          (net k_p2_valid (joined
           (portRef k_p2_valid)
           (portRef B (instanceRef op_7))
           (portRef B (instanceRef op_6))
           (portRef B (instanceRef op_5))
           (portRef B (instanceRef op_4))
           (portRef B (instanceRef op_3))
           (portRef B (instanceRef op_2))
           (portRef B (instanceRef op_1))
           (portRef B (instanceRef op_0))
          ))
          (net (rename k_postbyte0_1 "k_postbyte0[1]") (joined
           (portRef (member k_postbyte0 6))
           (portRef C (instanceRef op_1))
          ))
          (net (rename k_opcode_2 "k_opcode[2]") (joined
           (portRef (member k_opcode 5))
           (portRef A (instanceRef op_2))
          ))
          (net (rename k_postbyte0_2 "k_postbyte0[2]") (joined
           (portRef (member k_postbyte0 5))
           (portRef C (instanceRef op_2))
          ))
          (net (rename k_opcode_3 "k_opcode[3]") (joined
           (portRef (member k_opcode 4))
           (portRef A (instanceRef op_3))
          ))
          (net (rename k_postbyte0_3 "k_postbyte0[3]") (joined
           (portRef (member k_postbyte0 4))
           (portRef C (instanceRef op_3))
          ))
          (net (rename k_opcode_4 "k_opcode[4]") (joined
           (portRef (member k_opcode 3))
           (portRef A (instanceRef op_4))
          ))
          (net (rename k_postbyte0_4 "k_postbyte0[4]") (joined
           (portRef (member k_postbyte0 3))
           (portRef C (instanceRef op_4))
          ))
          (net (rename k_opcode_5 "k_opcode[5]") (joined
           (portRef (member k_opcode 2))
           (portRef A (instanceRef op_5))
          ))
          (net (rename k_postbyte0_5 "k_postbyte0[5]") (joined
           (portRef (member k_postbyte0 2))
           (portRef C (instanceRef op_5))
          ))
          (net (rename k_opcode_6 "k_opcode[6]") (joined
           (portRef (member k_opcode 1))
           (portRef A (instanceRef op_6))
          ))
          (net (rename k_postbyte0_6 "k_postbyte0[6]") (joined
           (portRef (member k_postbyte0 1))
           (portRef C (instanceRef op_6))
          ))
          (net (rename k_opcode_7 "k_opcode[7]") (joined
           (portRef (member k_opcode 0))
           (portRef A (instanceRef op_7))
          ))
          (net (rename k_postbyte0_7 "k_postbyte0[7]") (joined
           (portRef (member k_postbyte0 0))
           (portRef C (instanceRef op_7))
          ))
         )
        (property orig_inst_of (string "test_condition"))
       )
    )
    (cell decode_alu (cellType GENERIC)
       (view netlist (viewType NETLIST)
         (interface
           (port (array (rename alu_opcode_1_f0_1_0 "alu_opcode_1_f0_1_0[0:0]") 1) (direction OUTPUT))
           (port (array (rename alu_opcode_1_f0_1 "alu_opcode_1_f0_1[0:0]") 1) (direction OUTPUT))
           (port (array (rename k_opcode_fast "k_opcode_fast[1:1]") 1) (direction INPUT))
           (port (array (rename optype31 "optype31[0:0]") 1) (direction OUTPUT))
           (port (array (rename k_opcode "k_opcode[7:0]") 8) (direction INPUT))
           (port (array (rename dec_o_alu_opcode "dec_o_alu_opcode[4:0]") 5) (direction OUTPUT))
           (port k_postbyte0_6 (direction INPUT))
           (port k_postbyte0_2 (direction INPUT))
           (port k_postbyte0_7 (direction INPUT))
           (port k_postbyte0_3 (direction INPUT))
           (port k_postbyte0_0 (direction INPUT))
           (port k_postbyte0_1 (direction INPUT))
           (port k_postbyte0_fast_7 (direction INPUT))
           (port k_postbyte0_fast_3 (direction INPUT))
           (port k_postbyte0_fast_2 (direction INPUT))
           (port k_postbyte0_fast_0 (direction INPUT))
           (port (array (rename un1_postbyte0_1_1 "un1_postbyte0_1_1[0:0]") 1) (direction OUTPUT))
           (port k_opcode_2_rep1 (direction INPUT))
           (port mode76_1 (direction OUTPUT))
           (port N_50 (direction OUTPUT))
           (port mode79_5 (direction INPUT))
           (port mode52_1 (direction OUTPUT))
           (port N_23 (direction OUTPUT))
           (port k_p2_valid (direction INPUT))
           (port un3_dest_flags_1 (direction OUTPUT))
           (port k_p3_valid (direction INPUT))
         )
         (contents
          (instance (rename un1_postbyte0_2_RNIF5QL_0 "un1_postbyte0_2_RNIF5QL[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D A+D (!C A+C (B+A)))"))
          )
          (instance (rename alu_opcode_1_f0_1 "alu_opcode_1_f0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C+B)+D (!C (B !A)+C !A))"))
          )
          (instance un3_dest_flags_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B !A))"))
          )
          (instance (rename alu_opcode_1_f0_2 "alu_opcode_1_f0[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C+B)+D (!C (B !A)+C !A))"))
          )
          (instance (rename alu_opcode_4_1_f0_1 "alu_opcode_4_1_f0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C+!B)+D (!C (!B !A)+C !A))"))
          )
          (instance (rename alu_opcode_4_1_f0_2 "alu_opcode_4_1_f0[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C+!B)+D (!C (!B !A)+C !A))"))
          )
          (instance (rename alu_opcode_2_4_0__m22 "alu_opcode_2_4_0_.m22") (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT)))          )
          (instance (rename alu_opcode_4_m_3 "alu_opcode_4_m[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A+C (!B A))+D (!B A))"))
          )
          (instance (rename alu_opcode_2_4_0__m36 "alu_opcode_2_4_0_.m36") (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT)))          )
          (instance (rename alu_opcode_4_m_4 "alu_opcode_4_m[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C B)+D (C A))"))
          )
          (instance (rename alu_opcode_2_4_0__m61 "alu_opcode_2_4_0_.m61") (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT)))          )
          (instance (rename alu_opcode_2_4_0__m47 "alu_opcode_2_4_0_.m47") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename alu_opcode_2_4_0__m15_am "alu_opcode_2_4_0_.m15_am") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C !A+C !B)"))
          )
          (instance (rename alu_opcode_2_4_0__m15_bm "alu_opcode_2_4_0_.m15_bm") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!B+!A)+D (!C (!B !A+B A)+C (B+A)))"))
          )
          (instance (rename alu_opcode_2_4_0__m15 "alu_opcode_2_4_0_.m15") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename alu_opcode_2_4_0__m31_am "alu_opcode_2_4_0_.m31_am") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B+!A)"))
          )
          (instance (rename alu_opcode_2_4_0__m31_bm "alu_opcode_2_4_0_.m31_bm") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (!B+!A)+C !B)+D (!C (!B+A)+C (!B A+B !A)))"))
          )
          (instance (rename alu_opcode_2_4_0__m31 "alu_opcode_2_4_0_.m31") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename alu_opcode_2_4_0__m54_am "alu_opcode_2_4_0_.m54_am") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename alu_opcode_2_4_0__m54_bm "alu_opcode_2_4_0_.m54_bm") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (!B+A)+C (B A)))"))
          )
          (instance (rename alu_opcode_2_4_0__m54 "alu_opcode_2_4_0_.m54") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename alu_opcode_2_4_0__m44_am "alu_opcode_2_4_0_.m44_am") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C !A+C !B)"))
          )
          (instance (rename alu_opcode_2_4_0__m44_bm "alu_opcode_2_4_0_.m44_bm") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (!B+!A)+C (B !A))+D (!C+!B))"))
          )
          (instance (rename alu_opcode_2_4_0__m44 "alu_opcode_2_4_0_.m44") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename alu_opcode_4_m_0_4 "alu_opcode_4_m_0[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C !B+C (!B !A))+D (!B !A))"))
          )
          (instance (rename alu_opcode_2_4_0__m66 "alu_opcode_2_4_0_.m66") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename alu_opcode_2_4_0__m29_am "alu_opcode_2_4_0_.m29_am") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A)+C B)+D (!C (B A)+C (!B A+B !A)))"))
          )
          (instance (rename alu_opcode_2_4_0__m29_bm "alu_opcode_2_4_0_.m29_bm") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (B !A)+C (!B+!A)))"))
          )
          (instance (rename alu_opcode_2_4_0__m29 "alu_opcode_2_4_0_.m29") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename alu_opcode_2_4_0__m65 "alu_opcode_2_4_0_.m65") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename alu_opcode_4_1_f1_1_1 "alu_opcode_4_1_f1_1[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (B+A))+D (C A))"))
          )
          (instance (rename alu_opcode_1_f1_1_1 "alu_opcode_1_f1_1[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (B+A))+D (C A))"))
          )
          (instance un1_alu_opcode106 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C+(B+A))+D (B+A))"))
          )
          (instance (rename alu_opcode_2_4_0__m60_am "alu_opcode_2_4_0_.m60_am") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A+C B)+D (!C A))"))
          )
          (instance (rename alu_opcode_2_4_0__m60_bm "alu_opcode_2_4_0_.m60_bm") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B+A)+C (B A))"))
          )
          (instance (rename alu_opcode_2_4_0__m60 "alu_opcode_2_4_0_.m60") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename alu_opcode_2_4_0__m52_am "alu_opcode_2_4_0_.m52_am") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (!B+A)+C (B A)))"))
          )
          (instance (rename alu_opcode_2_4_0__m52_bm "alu_opcode_2_4_0_.m52_bm") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (B+!A)+C (!B !A)))"))
          )
          (instance (rename alu_opcode_2_4_0__m52 "alu_opcode_2_4_0_.m52") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename alu_opcode_2_4_0__m21_am "alu_opcode_2_4_0_.m21_am") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C !A)"))
          )
          (instance (rename alu_opcode_2_4_0__m21_bm "alu_opcode_2_4_0_.m21_bm") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B !A+B A)+C (!B+A))"))
          )
          (instance (rename alu_opcode_2_4_0__m21 "alu_opcode_2_4_0_.m21") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename alu_opcode_2_4_0__m42_bm "alu_opcode_2_4_0_.m42_bm") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (!B A)+C (!B A+B !A)))"))
          )
          (instance (rename alu_opcode_2_4_0__m42 "alu_opcode_2_4_0_.m42") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename alu_opcode_2_4_0__m6 "alu_opcode_2_4_0_.m6") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (B A)+D (!C (!B A+B !A)+C (!B !A)))"))
          )
          (instance (rename alu_opcode_2_4_0__m64 "alu_opcode_2_4_0_.m64") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (!B A+B !A)+C (!B !A)))"))
          )
          (instance (rename alu_opcode105_0 "alu_opcode105[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (C (!B !A)))"))
          )
          (instance (rename alu_opcode_2_4_0__m63 "alu_opcode_2_4_0_.m63") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (!B !A+B A)+C (B+!A))+D (!C !B))"))
          )
          (instance (rename alu_opcode_2_4_0__m35_am "alu_opcode_2_4_0_.m35_am") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B+A)+C (!B A))"))
          )
          (instance (rename alu_opcode_2_4_0__m35_bm "alu_opcode_2_4_0_.m35_bm") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C !A+C (!B !A))"))
          )
          (instance (rename alu_opcode_2_4_0__m35 "alu_opcode_2_4_0_.m35") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename alu_opcode106_0 "alu_opcode106[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (C (B A)))"))
          )
          (instance (rename un1_postbyte0_2_0 "un1_postbyte0_2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(C (!B A))"))
          )
          (instance (rename alu_opcode_2_4_0__m13 "alu_opcode_2_4_0_.m13") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (C (B A)))"))
          )
          (instance (rename alu_opcode_2_4_0__m46 "alu_opcode_2_4_0_.m46") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C !B+C (!B+!A))+D !B)"))
          )
          (instance (rename alu_opcode_2_4_0__m8 "alu_opcode_2_4_0_.m8") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(C (B !A))"))
          )
          (instance (rename un1_postbyte0_2_3_0 "un1_postbyte0_2_3[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (!B A)))"))
          )
          (instance (rename un1_postbyte0_1_1_0 "un1_postbyte0_1_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (!B !A)))"))
          )
          (instance (rename alu_opcode106_1_0 "alu_opcode106_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B A)"))
          )
          (instance (rename alu_opcode105_1_i_0 "alu_opcode105_1_i[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!B+!A)"))
          )
          (instance (rename alu_opcode_2_4_0__m55 "alu_opcode_2_4_0_.m55") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!B A)"))
          )
          (instance (rename alu_opcode_2_4_0__m24 "alu_opcode_2_4_0_.m24") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!B A+B !A)"))
          )
          (instance (rename alu_opcode_2_4_0__m23_0_0_a2 "alu_opcode_2_4_0_.m23_0_0_a2") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B A)"))
          )
          (instance (rename alu_opcode_2_4_0__m4 "alu_opcode_2_4_0_.m4") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!B !A)"))
          )
          (instance (rename alu_opcode_2_4_0__m10 "alu_opcode_2_4_0_.m10") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B !A)"))
          )
          (instance (rename alu_opcode_2_4_0__m9 "alu_opcode_2_4_0_.m9") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename alu_opcode_1_f0_1_0 "alu_opcode_1_f0_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A)+C A))"))
          )
          (instance (rename alu_opcode_1_f0_1_0_0 "alu_opcode_1_f0_1_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C+(!B !A))+D (!B !A))"))
          )
          (instance (rename alu_opcode_1_f0_0 "alu_opcode_1_f0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C !A+C (!B !A))"))
          )
          (instance (rename alu_opcode_2_4_0__m42_am "alu_opcode_2_4_0_.m42_am") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (B !A)+D (!C (B A)+C B))"))
          )
          (instance GND (viewRef PRIM (cellRef VLO (libraryRef LUCENT)))          )
          (net (rename un1_postbyte0_2_0 "un1_postbyte0_2[0]") (joined
           (portRef Z (instanceRef un1_postbyte0_2_0))
           (portRef A (instanceRef un1_postbyte0_2_RNIF5QL_0))
          ))
          (net alu_opcode104 (joined
           (portRef Z (instanceRef un1_postbyte0_2_RNIF5QL_0))
           (portRef A (instanceRef alu_opcode_1_f0_1_0_0))
           (portRef A (instanceRef un1_alu_opcode106))
           (portRef A (instanceRef alu_opcode_4_1_f0_2))
           (portRef A (instanceRef alu_opcode_4_1_f0_1))
           (portRef A (instanceRef alu_opcode_1_f0_2))
           (portRef A (instanceRef alu_opcode_1_f0_1))
          ))
          (net (rename alu_opcode_1_f1_1_2 "alu_opcode_1_f1_1[2]") (joined
           (portRef Z (instanceRef alu_opcode_1_f1_1_1))
           (portRef B (instanceRef alu_opcode_1_f0_2))
           (portRef B (instanceRef alu_opcode_1_f0_1))
          ))
          (net (rename alu_opcode_4_1 "alu_opcode_4[1]") (joined
           (portRef Z (instanceRef alu_opcode_4_1_f0_1))
           (portRef C (instanceRef alu_opcode_1_f0_1))
          ))
          (net (rename dec_o_alu_opcode_1 "dec_o_alu_opcode[1]") (joined
           (portRef Z (instanceRef alu_opcode_1_f0_1))
           (portRef (member dec_o_alu_opcode 3))
          ))
          (net un3_dest_flags_1 (joined
           (portRef Z (instanceRef un3_dest_flags_1))
           (portRef un3_dest_flags_1)
          ))
          (net (rename alu_opcode_4_2 "alu_opcode_4[2]") (joined
           (portRef Z (instanceRef alu_opcode_4_1_f0_2))
           (portRef C (instanceRef alu_opcode_1_f0_2))
          ))
          (net k_p3_valid (joined
           (portRef k_p3_valid)
           (portRef D (instanceRef alu_opcode_1_f0_1_0_0))
           (portRef C (instanceRef alu_opcode_1_f0_1_0))
           (portRef C (instanceRef alu_opcode_1_f1_1_1))
           (portRef D (instanceRef alu_opcode_4_m_0_4))
           (portRef D (instanceRef alu_opcode_4_m_3))
           (portRef D (instanceRef alu_opcode_1_f0_2))
           (portRef D (instanceRef alu_opcode_1_f0_1))
          ))
          (net (rename dec_o_alu_opcode_2 "dec_o_alu_opcode[2]") (joined
           (portRef Z (instanceRef alu_opcode_1_f0_2))
           (portRef A (instanceRef un3_dest_flags_1))
           (portRef (member dec_o_alu_opcode 2))
          ))
          (net N_37 (joined
           (portRef Z (instanceRef alu_opcode_2_4_0__m36))
           (portRef B (instanceRef alu_opcode_4_1_f0_1))
          ))
          (net (rename alu_opcode_4_1_f1_1_2 "alu_opcode_4_1_f1_1[2]") (joined
           (portRef Z (instanceRef alu_opcode_4_1_f1_1_1))
           (portRef C (instanceRef alu_opcode_4_1_f0_2))
           (portRef C (instanceRef alu_opcode_4_1_f0_1))
          ))
          (net N_48 (joined
           (portRef Z (instanceRef alu_opcode_2_4_0__m47))
           (portRef B (instanceRef alu_opcode_4_1_f0_2))
          ))
          (net k_p2_valid (joined
           (portRef k_p2_valid)
           (portRef C (instanceRef alu_opcode_1_f0_1_0_0))
           (portRef B (instanceRef alu_opcode_1_f0_1_0))
           (portRef C (instanceRef alu_opcode_4_1_f1_1_1))
           (portRef C (instanceRef alu_opcode_4_m_0_4))
           (portRef C (instanceRef alu_opcode_4_m_3))
           (portRef D (instanceRef alu_opcode_4_1_f0_2))
           (portRef D (instanceRef alu_opcode_4_1_f0_1))
          ))
          (net i3_mux_3 (joined
           (portRef Z (instanceRef alu_opcode_2_4_0__m21))
           (portRef D1 (instanceRef alu_opcode_2_4_0__m22))
          ))
          (net N_16 (joined
           (portRef Z (instanceRef alu_opcode_2_4_0__m15))
           (portRef D0 (instanceRef alu_opcode_2_4_0__m22))
          ))
          (net (rename alu_opcode_2_3 "alu_opcode_2[3]") (joined
           (portRef Z (instanceRef alu_opcode_2_4_0__m61))
           (portRef A (instanceRef alu_opcode_4_m_3))
          ))
          (net un1_alu_opcode106 (joined
           (portRef Z (instanceRef un1_alu_opcode106))
           (portRef A (instanceRef alu_opcode_4_m_0_4))
           (portRef B (instanceRef alu_opcode_4_m_3))
          ))
          (net (rename dec_o_alu_opcode_3 "dec_o_alu_opcode[3]") (joined
           (portRef Z (instanceRef alu_opcode_4_m_3))
           (portRef B (instanceRef un3_dest_flags_1))
           (portRef (member dec_o_alu_opcode 1))
          ))
          (net i3_mux_4 (joined
           (portRef Z (instanceRef alu_opcode_2_4_0__m35))
           (portRef D1 (instanceRef alu_opcode_2_4_0__m36))
          ))
          (net N_32 (joined
           (portRef Z (instanceRef alu_opcode_2_4_0__m31))
           (portRef D0 (instanceRef alu_opcode_2_4_0__m36))
          ))
          (net (rename k_opcode_7 "k_opcode[7]") (joined
           (portRef (member k_opcode 0))
           (portRef B (instanceRef alu_opcode_4_m_0_4))
           (portRef C (instanceRef alu_opcode_2_4_0__m47))
           (portRef SD (instanceRef alu_opcode_2_4_0__m61))
           (portRef SD (instanceRef alu_opcode_2_4_0__m36))
           (portRef SD (instanceRef alu_opcode_2_4_0__m22))
          ))
          (net N_64 (joined
           (portRef Z (instanceRef alu_opcode_2_4_0__m63))
           (portRef A (instanceRef alu_opcode_2_4_0__m65))
           (portRef A (instanceRef alu_opcode_4_m_4))
          ))
          (net N_67 (joined
           (portRef Z (instanceRef alu_opcode_2_4_0__m66))
           (portRef B (instanceRef alu_opcode_4_m_4))
          ))
          (net (rename alu_opcode_4_m_0_4 "alu_opcode_4_m_0[4]") (joined
           (portRef Z (instanceRef alu_opcode_4_m_0_4))
           (portRef C (instanceRef alu_opcode_4_m_4))
          ))
          (net (rename dec_o_alu_opcode_4 "dec_o_alu_opcode[4]") (joined
           (portRef Z (instanceRef alu_opcode_4_m_4))
           (portRef C (instanceRef un3_dest_flags_1))
           (portRef (member dec_o_alu_opcode 0))
          ))
          (net i3_mux_5 (joined
           (portRef Z (instanceRef alu_opcode_2_4_0__m60))
           (portRef D1 (instanceRef alu_opcode_2_4_0__m61))
          ))
          (net N_55 (joined
           (portRef Z (instanceRef alu_opcode_2_4_0__m54))
           (portRef D0 (instanceRef alu_opcode_2_4_0__m61))
          ))
          (net N_45 (joined
           (portRef Z (instanceRef alu_opcode_2_4_0__m44))
           (portRef A (instanceRef alu_opcode_2_4_0__m47))
          ))
          (net N_72_mux (joined
           (portRef Z (instanceRef alu_opcode_2_4_0__m46))
           (portRef B (instanceRef alu_opcode_2_4_0__m47))
          ))
          (net N_10 (joined
           (portRef Z (instanceRef alu_opcode_2_4_0__m9))
           (portRef A (instanceRef alu_opcode_2_4_0__m15_am))
          ))
          (net N_75_mux (joined
           (portRef Z (instanceRef alu_opcode_2_4_0__m13))
           (portRef B (instanceRef alu_opcode_2_4_0__m66))
           (portRef B (instanceRef alu_opcode_2_4_0__m44_am))
           (portRef B (instanceRef alu_opcode_2_4_0__m54_am))
           (portRef B (instanceRef alu_opcode_2_4_0__m15_am))
          ))
          (net m15_am (joined
           (portRef Z (instanceRef alu_opcode_2_4_0__m15_am))
           (portRef BLUT (instanceRef alu_opcode_2_4_0__m15))
          ))
          (net m15_bm (joined
           (portRef Z (instanceRef alu_opcode_2_4_0__m15_bm))
           (portRef ALUT (instanceRef alu_opcode_2_4_0__m15))
          ))
          (net (rename k_opcode_6 "k_opcode[6]") (joined
           (portRef (member k_opcode 1))
           (portRef D (instanceRef alu_opcode_2_4_0__m46))
           (portRef C (instanceRef alu_opcode_2_4_0__m35_bm))
           (portRef C (instanceRef alu_opcode_2_4_0__m21_bm))
           (portRef D (instanceRef alu_opcode_2_4_0__m60_am))
           (portRef C0 (instanceRef alu_opcode_2_4_0__m44))
           (portRef C0 (instanceRef alu_opcode_2_4_0__m54))
           (portRef C0 (instanceRef alu_opcode_2_4_0__m31))
           (portRef C0 (instanceRef alu_opcode_2_4_0__m15))
           (portRef D (instanceRef alu_opcode_4_m_4))
          ))
          (net N_30 (joined
           (portRef Z (instanceRef alu_opcode_2_4_0__m29))
           (portRef A (instanceRef alu_opcode_2_4_0__m31_am))
          ))
          (net (rename k_opcode_5 "k_opcode[5]") (joined
           (portRef (member k_opcode 2))
           (portRef C (instanceRef alu_opcode_2_4_0__m66))
           (portRef C (instanceRef alu_opcode_2_4_0__m44_am))
           (portRef C (instanceRef alu_opcode_2_4_0__m54_am))
           (portRef B (instanceRef alu_opcode_2_4_0__m31_am))
           (portRef C (instanceRef alu_opcode_2_4_0__m15_am))
          ))
          (net m31_am (joined
           (portRef Z (instanceRef alu_opcode_2_4_0__m31_am))
           (portRef BLUT (instanceRef alu_opcode_2_4_0__m31))
          ))
          (net (rename k_opcode_0 "k_opcode[0]") (joined
           (portRef (member k_opcode 7))
           (portRef D (instanceRef alu_opcode_2_4_0__m42_am))
           (portRef A (instanceRef alu_opcode_2_4_0__m24))
           (portRef A (instanceRef alu_opcode_2_4_0__m8))
           (portRef B (instanceRef alu_opcode_2_4_0__m13))
           (portRef B (instanceRef alu_opcode_2_4_0__m35_am))
           (portRef A (instanceRef alu_opcode_2_4_0__m63))
           (portRef A (instanceRef alu_opcode_2_4_0__m64))
           (portRef A (instanceRef alu_opcode_2_4_0__m6))
           (portRef A (instanceRef alu_opcode_2_4_0__m42_bm))
           (portRef B (instanceRef alu_opcode_2_4_0__m21_bm))
           (portRef A (instanceRef alu_opcode_2_4_0__m21_am))
           (portRef B (instanceRef alu_opcode_2_4_0__m52_bm))
           (portRef B (instanceRef alu_opcode_2_4_0__m52_am))
           (portRef B (instanceRef alu_opcode_2_4_0__m60_bm))
           (portRef C (instanceRef alu_opcode_2_4_0__m60_am))
           (portRef A (instanceRef alu_opcode_2_4_0__m29_bm))
           (portRef B (instanceRef alu_opcode_2_4_0__m44_bm))
           (portRef B (instanceRef alu_opcode_2_4_0__m54_bm))
           (portRef A (instanceRef alu_opcode_2_4_0__m31_bm))
           (portRef A (instanceRef alu_opcode_2_4_0__m15_bm))
          ))
          (net (rename k_opcode_1 "k_opcode[1]") (joined
           (portRef (member k_opcode 6))
           (portRef C (instanceRef alu_opcode_2_4_0__m42_am))
           (portRef A (instanceRef alu_opcode_2_4_0__m10))
           (portRef A (instanceRef alu_opcode_2_4_0__m4))
           (portRef A (instanceRef alu_opcode_2_4_0__m55))
           (portRef A (instanceRef alu_opcode_2_4_0__m46))
           (portRef A (instanceRef alu_opcode_2_4_0__m35_bm))
           (portRef C (instanceRef alu_opcode_2_4_0__m35_am))
           (portRef B (instanceRef alu_opcode_2_4_0__m63))
           (portRef B (instanceRef alu_opcode_2_4_0__m64))
           (portRef B (instanceRef alu_opcode_2_4_0__m6))
           (portRef B (instanceRef alu_opcode_2_4_0__m42_bm))
           (portRef B (instanceRef alu_opcode_2_4_0__m21_am))
           (portRef C (instanceRef alu_opcode_2_4_0__m52_bm))
           (portRef B (instanceRef alu_opcode_2_4_0__m29_bm))
           (portRef B (instanceRef alu_opcode_2_4_0__m29_am))
           (portRef B (instanceRef alu_opcode_2_4_0__m31_bm))
           (portRef B (instanceRef alu_opcode_2_4_0__m15_bm))
          ))
          (net (rename k_opcode_2 "k_opcode[2]") (joined
           (portRef (member k_opcode 5))
           (portRef B (instanceRef alu_opcode_2_4_0__m42_am))
           (portRef B (instanceRef alu_opcode_2_4_0__m10))
           (portRef B (instanceRef alu_opcode_2_4_0__m4))
           (portRef B (instanceRef alu_opcode_2_4_0__m55))
           (portRef B (instanceRef alu_opcode_2_4_0__m8))
           (portRef B (instanceRef alu_opcode_2_4_0__m46))
           (portRef B (instanceRef alu_opcode_2_4_0__m35_bm))
           (portRef C (instanceRef alu_opcode_2_4_0__m63))
           (portRef C (instanceRef alu_opcode_2_4_0__m64))
           (portRef C (instanceRef alu_opcode_2_4_0__m6))
           (portRef C (instanceRef alu_opcode_2_4_0__m42_bm))
           (portRef C (instanceRef alu_opcode_2_4_0__m21_am))
           (portRef C (instanceRef alu_opcode_2_4_0__m52_am))
           (portRef C (instanceRef alu_opcode_2_4_0__m60_bm))
           (portRef C (instanceRef alu_opcode_2_4_0__m29_bm))
           (portRef C (instanceRef alu_opcode_2_4_0__m29_am))
           (portRef C (instanceRef alu_opcode_2_4_0__m44_bm))
           (portRef C (instanceRef alu_opcode_2_4_0__m54_bm))
           (portRef C (instanceRef alu_opcode_2_4_0__m31_bm))
           (portRef C (instanceRef alu_opcode_2_4_0__m15_bm))
          ))
          (net (rename k_opcode_3 "k_opcode[3]") (joined
           (portRef (member k_opcode 4))
           (portRef A (instanceRef alu_opcode_2_4_0__m42_am))
           (portRef B (instanceRef alu_opcode_2_4_0__m24))
           (portRef C (instanceRef alu_opcode_2_4_0__m8))
           (portRef C (instanceRef alu_opcode_2_4_0__m46))
           (portRef C (instanceRef alu_opcode_2_4_0__m13))
           (portRef C0 (instanceRef alu_opcode_2_4_0__m35))
           (portRef D (instanceRef alu_opcode_2_4_0__m63))
           (portRef D (instanceRef alu_opcode_2_4_0__m64))
           (portRef D (instanceRef alu_opcode_2_4_0__m6))
           (portRef D (instanceRef alu_opcode_2_4_0__m42_bm))
           (portRef C0 (instanceRef alu_opcode_2_4_0__m21))
           (portRef D (instanceRef alu_opcode_2_4_0__m52_bm))
           (portRef D (instanceRef alu_opcode_2_4_0__m52_am))
           (portRef C0 (instanceRef alu_opcode_2_4_0__m60))
           (portRef D (instanceRef alu_opcode_2_4_0__m29_bm))
           (portRef D (instanceRef alu_opcode_2_4_0__m29_am))
           (portRef D (instanceRef alu_opcode_2_4_0__m44_bm))
           (portRef D (instanceRef alu_opcode_2_4_0__m54_bm))
           (portRef D (instanceRef alu_opcode_2_4_0__m31_bm))
           (portRef D (instanceRef alu_opcode_2_4_0__m15_bm))
          ))
          (net m31_bm (joined
           (portRef Z (instanceRef alu_opcode_2_4_0__m31_bm))
           (portRef ALUT (instanceRef alu_opcode_2_4_0__m31))
          ))
          (net N_53 (joined
           (portRef Z (instanceRef alu_opcode_2_4_0__m52))
           (portRef A (instanceRef alu_opcode_2_4_0__m54_am))
          ))
          (net m54_am (joined
           (portRef Z (instanceRef alu_opcode_2_4_0__m54_am))
           (portRef BLUT (instanceRef alu_opcode_2_4_0__m54))
          ))
          (net N_280 (joined
           (portRef Z (instanceRef alu_opcode_2_4_0__m4))
           (portRef A (instanceRef alu_opcode_2_4_0__m52_bm))
           (portRef A (instanceRef alu_opcode_2_4_0__m52_am))
           (portRef A (instanceRef alu_opcode_2_4_0__m60_bm))
           (portRef A (instanceRef alu_opcode_2_4_0__m60_am))
           (portRef A (instanceRef alu_opcode_2_4_0__m54_bm))
          ))
          (net m54_bm (joined
           (portRef Z (instanceRef alu_opcode_2_4_0__m54_bm))
           (portRef ALUT (instanceRef alu_opcode_2_4_0__m54))
          ))
          (net N_43 (joined
           (portRef Z (instanceRef alu_opcode_2_4_0__m42))
           (portRef A (instanceRef alu_opcode_2_4_0__m44_am))
          ))
          (net m44_am (joined
           (portRef Z (instanceRef alu_opcode_2_4_0__m44_am))
           (portRef BLUT (instanceRef alu_opcode_2_4_0__m44))
          ))
          (net m44_bm (joined
           (portRef Z (instanceRef alu_opcode_2_4_0__m44_bm))
           (portRef ALUT (instanceRef alu_opcode_2_4_0__m44))
          ))
          (net N_66 (joined
           (portRef Z (instanceRef alu_opcode_2_4_0__m65))
           (portRef A (instanceRef alu_opcode_2_4_0__m66))
          ))
          (net N_73 (joined
           (portRef Z (instanceRef alu_opcode_2_4_0__m24))
           (portRef A (instanceRef alu_opcode_2_4_0__m29_am))
          ))
          (net m29_am (joined
           (portRef Z (instanceRef alu_opcode_2_4_0__m29_am))
           (portRef BLUT (instanceRef alu_opcode_2_4_0__m29))
          ))
          (net m29_bm (joined
           (portRef Z (instanceRef alu_opcode_2_4_0__m29_bm))
           (portRef ALUT (instanceRef alu_opcode_2_4_0__m29))
          ))
          (net N_65 (joined
           (portRef Z (instanceRef alu_opcode_2_4_0__m64))
           (portRef B (instanceRef alu_opcode_2_4_0__m65))
          ))
          (net (rename k_opcode_4 "k_opcode[4]") (joined
           (portRef (member k_opcode 3))
           (portRef C0 (instanceRef alu_opcode_2_4_0__m9))
           (portRef D (instanceRef alu_opcode_2_4_0__m13))
           (portRef C0 (instanceRef alu_opcode_2_4_0__m42))
           (portRef C0 (instanceRef alu_opcode_2_4_0__m52))
           (portRef C (instanceRef alu_opcode_2_4_0__m65))
           (portRef C0 (instanceRef alu_opcode_2_4_0__m29))
          ))
          (net (rename alu_opcode106_0 "alu_opcode106[0]") (joined
           (portRef Z (instanceRef alu_opcode106_0))
           (portRef B (instanceRef alu_opcode_1_f0_1_0_0))
           (portRef B (instanceRef un1_alu_opcode106))
           (portRef A (instanceRef alu_opcode_1_f1_1_1))
           (portRef A (instanceRef alu_opcode_4_1_f1_1_1))
          ))
          (net mode79_5 (joined
           (portRef mode79_5)
           (portRef A (instanceRef alu_opcode_1_f0_1_0))
           (portRef C (instanceRef un1_alu_opcode106))
           (portRef B (instanceRef alu_opcode_1_f1_1_1))
           (portRef B (instanceRef alu_opcode_4_1_f1_1_1))
          ))
          (net (rename k_postbyte0_0 "k_postbyte0[0]") (joined
           (portRef k_postbyte0_0)
           (portRef D (instanceRef alu_opcode_1_f0_1_0))
           (portRef B (instanceRef un1_postbyte0_2_0))
           (portRef B (instanceRef alu_opcode105_0))
           (portRef D (instanceRef un1_alu_opcode106))
           (portRef D (instanceRef alu_opcode_1_f1_1_1))
           (portRef D (instanceRef alu_opcode_4_1_f1_1_1))
          ))
          (net N_56 (joined
           (portRef Z (instanceRef alu_opcode_2_4_0__m55))
           (portRef B (instanceRef alu_opcode_2_4_0__m60_am))
          ))
          (net m60_am (joined
           (portRef Z (instanceRef alu_opcode_2_4_0__m60_am))
           (portRef BLUT (instanceRef alu_opcode_2_4_0__m60))
          ))
          (net m60_bm (joined
           (portRef Z (instanceRef alu_opcode_2_4_0__m60_bm))
           (portRef ALUT (instanceRef alu_opcode_2_4_0__m60))
          ))
          (net m52_am (joined
           (portRef Z (instanceRef alu_opcode_2_4_0__m52_am))
           (portRef BLUT (instanceRef alu_opcode_2_4_0__m52))
          ))
          (net m52_bm (joined
           (portRef Z (instanceRef alu_opcode_2_4_0__m52_bm))
           (portRef ALUT (instanceRef alu_opcode_2_4_0__m52))
          ))
          (net m21_am (joined
           (portRef Z (instanceRef alu_opcode_2_4_0__m21_am))
           (portRef BLUT (instanceRef alu_opcode_2_4_0__m21))
          ))
          (net N_11 (joined
           (portRef Z (instanceRef alu_opcode_2_4_0__m10))
           (portRef A (instanceRef alu_opcode_2_4_0__m13))
           (portRef A (instanceRef alu_opcode_2_4_0__m35_am))
           (portRef A (instanceRef alu_opcode_2_4_0__m21_bm))
          ))
          (net m21_bm (joined
           (portRef Z (instanceRef alu_opcode_2_4_0__m21_bm))
           (portRef ALUT (instanceRef alu_opcode_2_4_0__m21))
          ))
          (net m42_bm (joined
           (portRef Z (instanceRef alu_opcode_2_4_0__m42_bm))
           (portRef ALUT (instanceRef alu_opcode_2_4_0__m42))
          ))
          (net m42_am (joined
           (portRef Z (instanceRef alu_opcode_2_4_0__m42_am))
           (portRef BLUT (instanceRef alu_opcode_2_4_0__m42))
          ))
          (net N_7 (joined
           (portRef Z (instanceRef alu_opcode_2_4_0__m6))
           (portRef BLUT (instanceRef alu_opcode_2_4_0__m9))
          ))
          (net (rename optype31_0 "optype31[0]") (joined
           (portRef Z (instanceRef alu_opcode105_0))
           (portRef (member optype31 0))
          ))
          (net m35_am (joined
           (portRef Z (instanceRef alu_opcode_2_4_0__m35_am))
           (portRef BLUT (instanceRef alu_opcode_2_4_0__m35))
          ))
          (net m35_bm (joined
           (portRef Z (instanceRef alu_opcode_2_4_0__m35_bm))
           (portRef ALUT (instanceRef alu_opcode_2_4_0__m35))
          ))
          (net (rename k_postbyte0_3 "k_postbyte0[3]") (joined
           (portRef k_postbyte0_3)
           (portRef C (instanceRef un1_postbyte0_2_3_0))
           (portRef C (instanceRef alu_opcode106_0))
           (portRef C (instanceRef alu_opcode105_0))
          ))
          (net (rename k_postbyte0_7 "k_postbyte0[7]") (joined
           (portRef k_postbyte0_7)
           (portRef C (instanceRef un1_postbyte0_2_0))
           (portRef D (instanceRef alu_opcode106_0))
           (portRef D (instanceRef alu_opcode105_0))
          ))
          (net (rename un1_postbyte0_2_3_0 "un1_postbyte0_2_3[0]") (joined
           (portRef Z (instanceRef un1_postbyte0_2_3_0))
           (portRef A (instanceRef un1_postbyte0_2_0))
          ))
          (net N_70_mux (joined
           (portRef Z (instanceRef alu_opcode_2_4_0__m8))
           (portRef ALUT (instanceRef alu_opcode_2_4_0__m9))
          ))
          (net (rename k_postbyte0_1 "k_postbyte0[1]") (joined
           (portRef k_postbyte0_1)
           (portRef A (instanceRef alu_opcode105_1_i_0))
           (portRef A (instanceRef alu_opcode106_1_0))
           (portRef A (instanceRef un1_postbyte0_2_3_0))
           (portRef D (instanceRef un1_postbyte0_2_RNIF5QL_0))
          ))
          (net (rename k_postbyte0_2 "k_postbyte0[2]") (joined
           (portRef k_postbyte0_2)
           (portRef B (instanceRef alu_opcode105_1_i_0))
           (portRef B (instanceRef un1_postbyte0_2_3_0))
           (portRef B (instanceRef alu_opcode106_0))
          ))
          (net (rename k_postbyte0_6 "k_postbyte0[6]") (joined
           (portRef k_postbyte0_6)
           (portRef A (instanceRef un1_postbyte0_1_1_0))
           (portRef D (instanceRef un1_postbyte0_2_3_0))
          ))
          (net (rename k_postbyte0_fast_2 "k_postbyte0_fast[2]") (joined
           (portRef k_postbyte0_fast_2)
           (portRef B (instanceRef un1_postbyte0_1_1_0))
          ))
          (net (rename k_postbyte0_fast_3 "k_postbyte0_fast[3]") (joined
           (portRef k_postbyte0_fast_3)
           (portRef C (instanceRef un1_postbyte0_1_1_0))
          ))
          (net (rename k_postbyte0_fast_7 "k_postbyte0_fast[7]") (joined
           (portRef k_postbyte0_fast_7)
           (portRef D (instanceRef un1_postbyte0_1_1_0))
          ))
          (net (rename un1_postbyte0_1_1_0 "un1_postbyte0_1_1[0]") (joined
           (portRef Z (instanceRef un1_postbyte0_1_1_0))
           (portRef B (instanceRef un1_postbyte0_2_RNIF5QL_0))
           (portRef (member un1_postbyte0_1_1 0))
          ))
          (net (rename k_postbyte0_fast_0 "k_postbyte0_fast[0]") (joined
           (portRef k_postbyte0_fast_0)
           (portRef B (instanceRef alu_opcode106_1_0))
           (portRef C (instanceRef un1_postbyte0_2_RNIF5QL_0))
          ))
          (net mode76_1 (joined
           (portRef Z (instanceRef alu_opcode106_1_0))
           (portRef A (instanceRef alu_opcode106_0))
           (portRef mode76_1)
          ))
          (net N_50 (joined
           (portRef Z (instanceRef alu_opcode105_1_i_0))
           (portRef A (instanceRef alu_opcode105_0))
           (portRef N_50)
          ))
          (net k_opcode_2_rep1 (joined
           (portRef k_opcode_2_rep1)
           (portRef A (instanceRef alu_opcode_2_4_0__m23_0_0_a2))
          ))
          (net (rename k_opcode_fast_1 "k_opcode_fast[1]") (joined
           (portRef (member k_opcode_fast 0))
           (portRef B (instanceRef alu_opcode_2_4_0__m23_0_0_a2))
          ))
          (net mode52_1 (joined
           (portRef Z (instanceRef alu_opcode_2_4_0__m23_0_0_a2))
           (portRef A (instanceRef alu_opcode_2_4_0__m44_bm))
           (portRef mode52_1)
          ))
          (net (rename alu_opcode_1_f0_1Z0Z_0 "alu_opcode_1_f0_1[0]") (joined
           (portRef Z (instanceRef alu_opcode_1_f0_1_0))
           (portRef A (instanceRef alu_opcode_1_f0_0))
           (portRef (member alu_opcode_1_f0_1 0))
          ))
          (net (rename alu_opcode_1_f0_1_0_0 "alu_opcode_1_f0_1_0[0]") (joined
           (portRef Z (instanceRef alu_opcode_1_f0_1_0_0))
           (portRef B (instanceRef alu_opcode_1_f0_0))
           (portRef (member alu_opcode_1_f0_1_0 0))
          ))
          (net N_23 (joined
           (portRef Z (instanceRef alu_opcode_2_4_0__m22))
           (portRef C (instanceRef alu_opcode_1_f0_0))
           (portRef N_23)
          ))
          (net (rename dec_o_alu_opcode_0 "dec_o_alu_opcode[0]") (joined
           (portRef Z (instanceRef alu_opcode_1_f0_0))
           (portRef (member dec_o_alu_opcode 4))
          ))
         )
        (property orig_inst_of (string "decode_alu"))
       )
    )
    (cell decode_ea (cellType GENERIC)
       (view netlist (viewType NETLIST)
         (interface
           (port k_ind_ea_2 (direction INPUT))
           (port k_ind_ea_4 (direction INPUT))
           (port k_ind_ea_3 (direction INPUT))
           (port k_ind_ea_7 (direction INPUT))
           (port k_ind_ea_0 (direction INPUT))
           (port k_ind_ea_1 (direction INPUT))
           (port ofs16_2 (direction OUTPUT))
           (port N_21 (direction OUTPUT))
           (port dec_o_ea_indirect (direction OUTPUT))
           (port dec_o_ea_ofs8 (direction OUTPUT))
         )
         (contents
          (instance (rename noofs7_0 "noofs7[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (C (!B !A)))"))
          )
          (instance isind (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B A)"))
          )
          (instance ofs16_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B A)"))
          )
          (instance (rename noofs7_1_0 "noofs7_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B A)"))
          )
          (instance GND (viewRef PRIM (cellRef VLO (libraryRef LUCENT)))          )
          (net (rename k_ind_ea_1 "k_ind_ea[1]") (joined
           (portRef k_ind_ea_1)
           (portRef A (instanceRef noofs7_0))
          ))
          (net (rename k_ind_ea_0 "k_ind_ea[0]") (joined
           (portRef k_ind_ea_0)
           (portRef B (instanceRef noofs7_0))
          ))
          (net dec_o_ea_ofs8 (joined
           (portRef Z (instanceRef noofs7_0))
           (portRef dec_o_ea_ofs8)
          ))
          (net (rename k_ind_ea_7 "k_ind_ea[7]") (joined
           (portRef k_ind_ea_7)
           (portRef B (instanceRef noofs7_1_0))
           (portRef B (instanceRef isind))
           (portRef C (instanceRef noofs7_0))
          ))
          (net dec_o_ea_indirect (joined
           (portRef Z (instanceRef isind))
           (portRef dec_o_ea_indirect)
          ))
          (net (rename k_ind_ea_2 "k_ind_ea[2]") (joined
           (portRef k_ind_ea_2)
           (portRef A (instanceRef ofs16_0_a3))
          ))
          (net (rename k_ind_ea_4 "k_ind_ea[4]") (joined
           (portRef k_ind_ea_4)
           (portRef B (instanceRef ofs16_0_a3))
           (portRef A (instanceRef isind))
          ))
          (net N_21 (joined
           (portRef Z (instanceRef ofs16_0_a3))
           (portRef N_21)
          ))
          (net (rename k_ind_ea_3 "k_ind_ea[3]") (joined
           (portRef k_ind_ea_3)
           (portRef A (instanceRef noofs7_1_0))
           (portRef D (instanceRef noofs7_0))
          ))
          (net ofs16_2 (joined
           (portRef Z (instanceRef noofs7_1_0))
           (portRef ofs16_2)
          ))
         )
        (property orig_inst_of (string "decode_ea"))
       )
    )
    (cell decode_op (cellType GENERIC)
       (view netlist (viewType NETLIST)
         (interface
           (port (array (rename k_opcode_fast "k_opcode_fast[7:6]") 2) (direction INPUT))
           (port (array (rename state55_3 "state55_3[0:0]") 1) (direction INPUT))
           (port (array (rename dec_o_p1_optype "dec_o_p1_optype[1:0]") 2) (direction OUTPUT))
           (port (array (rename optype31 "optype31[0:0]") 1) (direction INPUT))
           (port (array (rename k_opcode "k_opcode[7:0]") 8) (direction INPUT))
           (port (array (rename dec_o_p1_mode "dec_o_p1_mode[2:0]") 3) (direction OUTPUT))
           (port k_postbyte0_fast_7 (direction INPUT))
           (port k_postbyte0_fast_0 (direction INPUT))
           (port (array (rename k_postbyte0 "k_postbyte0[7:0]") 8) (direction INPUT))
           (port k_opcode_7_rep1 (direction INPUT))
           (port k_opcode_1_rep1 (direction INPUT))
           (port k_opcode_5_rep1 (direction INPUT))
           (port use_s_1 (direction OUTPUT))
           (port k_opcode_4_rep1 (direction INPUT))
           (port N_2 (direction OUTPUT))
           (port N_75 (direction OUTPUT))
           (port N_135 (direction OUTPUT))
           (port mode79 (direction OUTPUT))
           (port N_69 (direction INPUT))
           (port state68_4 (direction OUTPUT))
           (port mode52_1 (direction INPUT))
           (port N_64 (direction INPUT))
           (port mode79_5 (direction OUTPUT))
           (port N_106 (direction INPUT))
           (port k_p3_valid (direction INPUT))
           (port k_p2_valid (direction INPUT))
           (port N_19_m (direction OUTPUT))
           (port k_write_pc_0_sqmuxa (direction OUTPUT))
           (port dec_o_cond_taken (direction INPUT))
           (port N_1796_1 (direction INPUT))
           (port un1_state80_RNITTHJ (direction OUTPUT))
           (port cpu_reset_i_4 (direction INPUT))
           (port cpu_clk (direction INPUT))
           (port un1_state_40 (direction INPUT))
           (port mode76_1 (direction INPUT))
         )
         (contents
          (instance mode77_1_RNIRQDL (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (B A)))"))
          )
          (instance mode76_0_RNIP5RH (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (C (B A)))"))
          )
          (instance un1_state80_RNITTHJ (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (!B A)))"))
          )
          (instance un1_mode109_RNI4GKD1 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename mode_4_i_1_RNI5LJP_0 "mode_4_i_1_RNI5LJP[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (!B+!A)+C (!B A))+D (!C !A))"))
          )
          (instance mode76_0_RNIFE0J (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C !A+C (!B !A))+D !A)"))
          )
          (instance k_write_pc_0_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (B+!A)))"))
          )
          (instance un1_state80 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B+!A))"))
          )
          (instance un1_mode109_RNI862O9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (B+A)+D (C+(B+A)))"))
          )
          (instance un1_mode109_RNIFAA71 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (B A)+D (!C (B A)+C (!B+A)))"))
          )
          (instance un1_mode109_RNIKR9G8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (C (B+A)))"))
          )
          (instance (rename mode_7_am_2 "mode_7_am[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!B !A+B A)"))
          )
          (instance (rename mode_4_0_0_RNIT8B01_2 "mode_4_0_0_RNIT8B01[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(C+(B+A))"))
          )
          (instance un1_mode93_RNIF1H51 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename optype_3_2_0__N_19_m "optype_3_2_0_.N_19_m") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (B A)+D (C A))"))
          )
          (instance un1_mode93_RNIO4I5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D !A+D (!C (B !A)))"))
          )
          (instance un1_mode93 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D+(C (B A)))"))
          )
          (instance un1_mode109 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D+(!C (B A)))"))
          )
          (instance (rename optype_0_f0_1 "optype_0_f0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D C+D (!C (!B A)+C !B))"))
          )
          (instance (rename optype_0_f0_0 "optype_0_f0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D !C+D (!C !A+C (B !A)))"))
          )
          (instance (rename optype_3_2_0__m17 "optype_3_2_0_.m17") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A+C B)+D (!C+B))"))
          )
          (instance (rename optype_3_2_0__m12 "optype_3_2_0_.m12") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C !A+C B)"))
          )
          (instance (rename optype_0_2 "optype_0[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C+(!B !A))+D (!C+!B))"))
          )
          (instance (rename mode_4_i_a2_0_0_a2_RNI2DM95_0 "mode_4_i_a2_0_0_a2_RNI2DM95[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C+(B !A)))"))
          )
          (instance (rename optype_3_2_0__m9 "optype_3_2_0_.m9") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A+C B)+D (!C+B))"))
          )
          (instance (rename optype_3_2_0__m16 "optype_3_2_0_.m16") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B+A)+C (!B A))"))
          )
          (instance (rename mode_4_i_a5_1_0 "mode_4_i_a5_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C !B)"))
          )
          (instance (rename mode_4_i_1_0 "mode_4_i_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D C+D (C+(!B A)))"))
          )
          (instance mode80_1_RNIB6MM1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!B !A)+D (!C (!B !A)))"))
          )
          (instance (rename mode87_1_RNICP511_0 "mode87_1_RNICP511[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (B+A))+D (C A))"))
          )
          (instance (rename optype_3_2_0__m11 "optype_3_2_0_.m11") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C !A+C (!B !A)))"))
          )
          (instance (rename optype_3_2_0__m10 "optype_3_2_0_.m10") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A+C B)+D (!C+B))"))
          )
          (instance (rename optype_3_2_0__m8 "optype_3_2_0_.m8") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C+B)+D (!C A+C B))"))
          )
          (instance (rename mode_4_i_a2_0_0_a2_RNI4OPG3_0 "mode_4_i_a2_0_0_a2_RNI4OPG3[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C+A)+D (C+(B+A)))"))
          )
          (instance (rename mode_4_i_0_0 "mode_4_i_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B+A)+C !B))"))
          )
          (instance mode77_2_RNI19P11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D !C+D (!C (!B+!A)))"))
          )
          (instance un1_mode93_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C+(B+!A))+D (!B !A))"))
          )
          (instance (rename optype_3_2_0__m15 "optype_3_2_0_.m15") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A+C !B)+D A)"))
          )
          (instance mode79_5_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (C (B A)))"))
          )
          (instance un1_mode89_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(C (B+A))"))
          )
          (instance mode79_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(C (B !A))"))
          )
          (instance (rename mode_4_0_a5_1_2 "mode_4_0_a5_1[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (B A)))"))
          )
          (instance use_s_1_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (C (B A)))"))
          )
          (instance (rename mode_4_i_o5_0_0_a2_0 "mode_4_i_o5_0_0_a2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(C (B A))"))
          )
          (instance (rename mode_0_a3_6_1_1 "mode_0_a3_6_1[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (B !A)))"))
          )
          (instance (rename mode_4_0_0_2 "mode_4_0_0[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D C+D (C (!B !A)))"))
          )
          (instance (rename optype_3_2_0__m7 "optype_3_2_0_.m7") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D+(!C !A+C (!B !A)))"))
          )
          (instance (rename optype_3_2_0__m13 "optype_3_2_0_.m13") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C A)"))
          )
          (instance (rename optype32_0 "optype32[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (C (B A)))"))
          )
          (instance (rename mode_4_i_o5_0_0_a2_0_0_0 "mode_4_i_o5_0_0_a2_0_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (B !A)))"))
          )
          (instance (rename mode_4_i_a5_2_0_a3_0_0 "mode_4_i_a5_2_0_a3_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (!B+A)))"))
          )
          (instance mode77_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (!B !A)))"))
          )
          (instance mode80_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (C (B A)))"))
          )
          (instance mode80_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (!B !A)))"))
          )
          (instance mode78_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (!B !A)))"))
          )
          (instance mode76_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B !A)))"))
          )
          (instance mode79_0_a3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B !A)))"))
          )
          (instance (rename mode_0_m2_1 "mode_0_m2[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance mode79_5_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B A)"))
          )
          (instance mode77_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B !A)"))
          )
          (instance mode79_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!B+A)"))
          )
          (instance (rename mode87_1_0 "mode87_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B A)"))
          )
          (instance mode76_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B !A)"))
          )
          (instance (rename mode_4_i_a2_0_0_a2_0 "mode_4_i_a2_0_0_a2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B !A)"))
          )
          (instance (rename optype_3_2_0__m1 "optype_3_2_0_.m1") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!B A)"))
          )
          (instance (rename mode_4_i_a5_2_0_i2_0_0_a2_0 "mode_4_i_a5_2_0_i2_0_0_a2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!B !A)"))
          )
          (instance (rename optype_3_2_0__m5_i_o2 "optype_3_2_0_.m5_i_o2") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!B+!A)"))
          )
          (instance (rename mode_0_a3_5_1_1 "mode_0_a3_5_1[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B !A)"))
          )
          (instance (rename mode_0_2_0_tz_0_1_1 "mode_0_2_0_tz_0_1[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D+(!C (!B+A)+C (B !A)))"))
          )
          (instance (rename mode_4_i_a5_2_0_i2_0_0_a2_RNI06613_0 "mode_4_i_a5_2_0_i2_0_0_a2_RNI06613[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C !B+C (!B+!A)))"))
          )
          (instance GND (viewRef PRIM (cellRef VLO (libraryRef LUCENT)))          )
          (net mode77_1 (joined
           (portRef Z (instanceRef mode77_1))
           (portRef A (instanceRef mode77_1_RNIRQDL))
          ))
          (net mode76_1 (joined
           (portRef mode76_1)
           (portRef B (instanceRef mode77_1_RNIRQDL))
          ))
          (net mode77 (joined
           (portRef Z (instanceRef mode77_1_RNIRQDL))
           (portRef B (instanceRef mode80_1_RNIB6MM1))
          ))
          (net mode76_0 (joined
           (portRef Z (instanceRef mode76_0))
           (portRef A (instanceRef mode76_0_RNIP5RH))
          ))
          (net N_129 (joined
           (portRef Z (instanceRef mode79_5_0_a2))
           (portRef B (instanceRef mode79_0_a3))
           (portRef A (instanceRef mode77_2_RNI19P11))
           (portRef B (instanceRef mode76_0_RNIP5RH))
          ))
          (net (rename k_postbyte0_fast_0 "k_postbyte0_fast[0]") (joined
           (portRef k_postbyte0_fast_0)
           (portRef C (instanceRef mode76_0_RNIP5RH))
          ))
          (net mode76 (joined
           (portRef Z (instanceRef mode76_0_RNIP5RH))
           (portRef A (instanceRef mode87_1_RNICP511_0))
           (portRef A (instanceRef mode80_1_RNIB6MM1))
           (portRef A (instanceRef mode76_0_RNIFE0J))
          ))
          (net un1_state80 (joined
           (portRef Z (instanceRef un1_state80))
           (portRef C (instanceRef k_write_pc_0_sqmuxa))
           (portRef B (instanceRef un1_state80_RNITTHJ))
          ))
          (net cpu_clk (joined
           (portRef cpu_clk)
           (portRef C (instanceRef un1_state80_RNITTHJ))
          ))
          (net cpu_reset_i_4 (joined
           (portRef cpu_reset_i_4)
           (portRef D (instanceRef un1_state80_RNITTHJ))
          ))
          (net un1_state80_RNITTHJ (joined
           (portRef Z (instanceRef un1_state80_RNITTHJ))
           (portRef un1_state80_RNITTHJ)
          ))
          (net (rename mode_4_i_1_RNI5LJP_0 "mode_4_i_1_RNI5LJP[0]") (joined
           (portRef Z (instanceRef mode_4_i_1_RNI5LJP_0))
           (portRef ALUT (instanceRef un1_mode109_RNI4GKD1))
          ))
          (net mode76_0_RNIFE0J (joined
           (portRef Z (instanceRef mode76_0_RNIFE0J))
           (portRef BLUT (instanceRef un1_mode109_RNI4GKD1))
          ))
          (net un1_mode109 (joined
           (portRef Z (instanceRef un1_mode109))
           (portRef D (instanceRef un1_mode109_RNIKR9G8))
           (portRef B (instanceRef un1_mode109_RNIFAA71))
           (portRef D (instanceRef un1_mode109_RNI862O9))
           (portRef C0 (instanceRef un1_mode109_RNI4GKD1))
          ))
          (net un1_mode93 (joined
           (portRef Z (instanceRef un1_mode93))
           (portRef A (instanceRef un1_mode93_RNIO4I5))
           (portRef C0 (instanceRef un1_mode93_RNIF1H51))
           (portRef C (instanceRef un1_mode109_RNIKR9G8))
           (portRef A (instanceRef mode_4_i_1_RNI5LJP_0))
          ))
          (net (rename mode_4_i_1_0 "mode_4_i_1[0]") (joined
           (portRef Z (instanceRef mode_4_i_1_0))
           (portRef B (instanceRef mode_4_i_1_RNI5LJP_0))
          ))
          (net mode76_3 (joined
           (portRef Z (instanceRef mode76_3))
           (portRef C (instanceRef mode_4_i_1_RNI5LJP_0))
          ))
          (net N_233 (joined
           (portRef Z (instanceRef mode_4_i_a5_1_0))
           (portRef A (instanceRef mode_4_0_0_RNIT8B01_2))
           (portRef D (instanceRef mode_4_i_1_RNI5LJP_0))
          ))
          (net (rename k_postbyte0_7 "k_postbyte0[7]") (joined
           (portRef (member k_postbyte0 0))
           (portRef B (instanceRef mode87_1_0))
           (portRef B (instanceRef mode77_2))
           (portRef D (instanceRef mode76_0))
           (portRef D (instanceRef mode80_2))
           (portRef D (instanceRef optype32_0))
           (portRef C (instanceRef un1_mode89_1))
           (portRef D (instanceRef mode79_5_0_a3))
           (portRef D (instanceRef un1_mode93_RNO))
           (portRef D (instanceRef un1_mode93_RNIO4I5))
           (portRef B (instanceRef mode_7_am_2))
           (portRef D (instanceRef un1_mode109_RNIFAA71))
           (portRef B (instanceRef mode76_0_RNIFE0J))
           (portRef C (instanceRef mode77_1_RNIRQDL))
          ))
          (net (rename k_postbyte0_4 "k_postbyte0[4]") (joined
           (portRef (member k_postbyte0 3))
           (portRef A (instanceRef mode76_3))
           (portRef A (instanceRef mode87_1_0))
           (portRef A (instanceRef mode77_2))
           (portRef C (instanceRef mode79_0_a3_1))
           (portRef A (instanceRef mode76_0))
           (portRef B (instanceRef mode80_2))
           (portRef A (instanceRef un1_mode89_1))
           (portRef A (instanceRef un1_mode93_RNO))
           (portRef B (instanceRef un1_mode93_RNIO4I5))
           (portRef D (instanceRef mode76_0_RNIFE0J))
           (portRef D (instanceRef mode77_1_RNIRQDL))
          ))
          (net N_1796_1 (joined
           (portRef N_1796_1)
           (portRef A (instanceRef k_write_pc_0_sqmuxa))
          ))
          (net dec_o_cond_taken (joined
           (portRef dec_o_cond_taken)
           (portRef B (instanceRef k_write_pc_0_sqmuxa))
          ))
          (net un1_state_40 (joined
           (portRef un1_state_40)
           (portRef D (instanceRef k_write_pc_0_sqmuxa))
           (portRef A (instanceRef un1_state80_RNITTHJ))
          ))
          (net k_write_pc_0_sqmuxa (joined
           (portRef Z (instanceRef k_write_pc_0_sqmuxa))
           (portRef k_write_pc_0_sqmuxa)
          ))
          (net (rename dec_o_p1_mode_0 "dec_o_p1_mode[0]") (joined
           (portRef Z (instanceRef un1_mode109_RNI4GKD1))
           (portRef A (instanceRef un1_state80))
           (portRef (member dec_o_p1_mode 2))
          ))
          (net (rename mode_0_0_1 "mode_0_0[1]") (joined
           (portRef Z (instanceRef mode87_1_RNICP511_0))
           (portRef A (instanceRef un1_mode109_RNI862O9))
          ))
          (net (rename mode_0_2_0_1 "mode_0_2_0[1]") (joined
           (portRef Z (instanceRef un1_mode109_RNIKR9G8))
           (portRef B (instanceRef un1_mode109_RNI862O9))
          ))
          (net (rename mode_0_a3_0_1 "mode_0_a3_0[1]") (joined
           (portRef Z (instanceRef un1_mode93_RNIO4I5))
           (portRef C (instanceRef un1_mode109_RNI862O9))
          ))
          (net (rename dec_o_p1_mode_1 "dec_o_p1_mode[1]") (joined
           (portRef Z (instanceRef un1_mode109_RNI862O9))
           (portRef B (instanceRef un1_state80))
           (portRef (member dec_o_p1_mode 1))
          ))
          (net (rename mode_7_2 "mode_7[2]") (joined
           (portRef Z (instanceRef un1_mode93_RNIF1H51))
           (portRef A (instanceRef un1_mode109_RNIFAA71))
          ))
          (net (rename k_postbyte0_5 "k_postbyte0[5]") (joined
           (portRef (member k_postbyte0 2))
           (portRef B (instanceRef mode76_3))
           (portRef D (instanceRef mode79_0_a3_1))
           (portRef B (instanceRef mode76_0))
           (portRef C (instanceRef mode78_1))
           (portRef C (instanceRef mode80_2))
           (portRef C (instanceRef mode77_1))
           (portRef B (instanceRef un1_mode89_1))
           (portRef B (instanceRef un1_mode93_RNO))
           (portRef D (instanceRef mode87_1_RNICP511_0))
           (portRef C (instanceRef un1_mode93_RNIO4I5))
           (portRef A (instanceRef mode_7_am_2))
           (portRef C (instanceRef un1_mode109_RNIFAA71))
           (portRef C (instanceRef mode76_0_RNIFE0J))
          ))
          (net (rename dec_o_p1_mode_2 "dec_o_p1_mode[2]") (joined
           (portRef Z (instanceRef un1_mode109_RNIFAA71))
           (portRef C (instanceRef un1_state80))
           (portRef (member dec_o_p1_mode 0))
          ))
          (net (rename mode_0_2_0_tz_0_1 "mode_0_2_0_tz_0[1]") (joined
           (portRef Z (instanceRef mode_4_i_a5_2_0_i2_0_0_a2_RNI06613_0))
           (portRef A (instanceRef un1_mode109_RNIKR9G8))
          ))
          (net (rename mode_0_3_0_1 "mode_0_3_0[1]") (joined
           (portRef Z (instanceRef mode_4_i_a2_0_0_a2_RNI2DM95_0))
           (portRef B (instanceRef un1_mode109_RNIKR9G8))
          ))
          (net (rename mode_7_am_2 "mode_7_am[2]") (joined
           (portRef Z (instanceRef mode_7_am_2))
           (portRef BLUT (instanceRef un1_mode93_RNIF1H51))
          ))
          (net N_242 (joined
           (portRef Z (instanceRef mode_4_0_a5_1_2))
           (portRef B (instanceRef mode_4_0_0_RNIT8B01_2))
          ))
          (net (rename mode_4_0_0_2 "mode_4_0_0[2]") (joined
           (portRef Z (instanceRef mode_4_0_0_2))
           (portRef C (instanceRef mode_4_0_0_RNIT8B01_2))
          ))
          (net (rename mode_4_0_0_RNIT8B01_2 "mode_4_0_0_RNIT8B01[2]") (joined
           (portRef Z (instanceRef mode_4_0_0_RNIT8B01_2))
           (portRef ALUT (instanceRef un1_mode93_RNIF1H51))
          ))
          (net N_280 (joined
           (portRef Z (instanceRef optype_0_2))
           (portRef A (instanceRef optype_3_2_0__N_19_m))
          ))
          (net N_11 (joined
           (portRef Z (instanceRef optype_3_2_0__m10))
           (portRef A (instanceRef optype_3_2_0__m12))
           (portRef B (instanceRef optype_3_2_0__N_19_m))
          ))
          (net N_18 (joined
           (portRef Z (instanceRef optype_3_2_0__m17))
           (portRef C (instanceRef optype_3_2_0__N_19_m))
          ))
          (net N_19_m (joined
           (portRef Z (instanceRef optype_3_2_0__N_19_m))
           (portRef N_19_m)
          ))
          (net mode_8_sqmuxa_1_93_1 (joined
           (portRef Z (instanceRef mode77_2_RNI19P11))
           (portRef A (instanceRef un1_mode109))
           (portRef A (instanceRef un1_mode93))
          ))
          (net mode_8_sqmuxa_1_93_2 (joined
           (portRef Z (instanceRef mode80_1_RNIB6MM1))
           (portRef B (instanceRef un1_mode109))
           (portRef B (instanceRef un1_mode93))
          ))
          (net mode_9_sqmuxa_100_0 (joined
           (portRef Z (instanceRef un1_mode93_RNO))
           (portRef C (instanceRef un1_mode93))
          ))
          (net un1_mode89_1 (joined
           (portRef Z (instanceRef un1_mode89_1))
           (portRef C (instanceRef un1_mode109))
          ))
          (net (rename optype32_0 "optype32[0]") (joined
           (portRef Z (instanceRef optype32_0))
           (portRef B (instanceRef optype_0_2))
           (portRef B (instanceRef optype_0_f0_0))
           (portRef B (instanceRef optype_0_f0_1))
          ))
          (net (rename optype_3_1 "optype_3[1]") (joined
           (portRef Z (instanceRef optype_3_2_0__m12))
           (portRef C (instanceRef optype_0_f0_1))
          ))
          (net k_p2_valid (joined
           (portRef k_p2_valid)
           (portRef C (instanceRef optype_0_2))
           (portRef D (instanceRef optype_0_f0_0))
           (portRef D (instanceRef optype_0_f0_1))
           (portRef D (instanceRef un1_mode93))
          ))
          (net (rename dec_o_p1_optype_1 "dec_o_p1_optype[1]") (joined
           (portRef Z (instanceRef optype_0_f0_1))
           (portRef (member dec_o_p1_optype 0))
          ))
          (net (rename optype31_0 "optype31[0]") (joined
           (portRef (member optype31 0))
           (portRef A (instanceRef optype_0_f0_0))
           (portRef A (instanceRef optype_0_f0_1))
          ))
          (net N_10 (joined
           (portRef Z (instanceRef optype_3_2_0__m9))
           (portRef C (instanceRef optype_0_f0_0))
          ))
          (net (rename dec_o_p1_optype_0 "dec_o_p1_optype[0]") (joined
           (portRef Z (instanceRef optype_0_f0_0))
           (portRef (member dec_o_p1_optype 1))
          ))
          (net N_17 (joined
           (portRef Z (instanceRef optype_3_2_0__m16))
           (portRef B (instanceRef optype_3_2_0__m17))
          ))
          (net N_12 (joined
           (portRef Z (instanceRef optype_3_2_0__m11))
           (portRef B (instanceRef optype_3_2_0__m12))
          ))
          (net (rename k_opcode_0 "k_opcode[0]") (joined
           (portRef (member k_opcode 7))
           (portRef A (instanceRef mode_0_2_0_tz_0_1_1))
           (portRef A (instanceRef mode_4_i_a5_2_0_a3_0_0))
           (portRef B (instanceRef mode_4_i_o5_0_0_a2_0))
           (portRef C (instanceRef optype_3_2_0__m9))
           (portRef C (instanceRef optype_3_2_0__m12))
           (portRef D (instanceRef optype_3_2_0__N_19_m))
          ))
          (net N_114_1 (joined
           (portRef Z (instanceRef mode_0_a3_5_1_1))
           (portRef B (instanceRef mode_4_i_a2_0_0_a2_RNI2DM95_0))
          ))
          (net (rename mode_0_3_tz_1_1 "mode_0_3_tz_1[1]") (joined
           (portRef Z (instanceRef mode_4_i_a2_0_0_a2_RNI4OPG3_0))
           (portRef C (instanceRef mode_4_i_a2_0_0_a2_RNI2DM95_0))
          ))
          (net N_106 (joined
           (portRef N_106)
           (portRef A (instanceRef optype_3_2_0__m10))
           (portRef A (instanceRef optype_3_2_0__m9))
           (portRef A (instanceRef optype_3_2_0__m17))
          ))
          (net N_9 (joined
           (portRef Z (instanceRef optype_3_2_0__m8))
           (portRef B (instanceRef optype_3_2_0__m9))
          ))
          (net (rename k_opcode_3 "k_opcode[3]") (joined
           (portRef (member k_opcode 4))
           (portRef D (instanceRef mode_0_2_0_tz_0_1_1))
           (portRef A (instanceRef mode_4_i_a2_0_0_a2_0))
           (portRef B (instanceRef mode_4_i_o5_0_0_a2_0_0_0))
           (portRef B (instanceRef optype_3_2_0__m7))
           (portRef B (instanceRef mode_4_0_0_2))
           (portRef D (instanceRef optype_3_2_0__m10))
           (portRef C (instanceRef optype_3_2_0__m11))
           (portRef B (instanceRef optype_3_2_0__m16))
           (portRef D (instanceRef optype_3_2_0__m9))
           (portRef D (instanceRef optype_3_2_0__m17))
          ))
          (net N_22_mux (joined
           (portRef Z (instanceRef optype_3_2_0__m15))
           (portRef A (instanceRef optype_3_2_0__m16))
          ))
          (net N_28 (joined
           (portRef Z (instanceRef mode_4_i_o5_0_0_a2_0))
           (portRef A (instanceRef mode_4_i_a5_1_0))
          ))
          (net (rename k_opcode_5 "k_opcode[5]") (joined
           (portRef (member k_opcode 2))
           (portRef C (instanceRef mode_4_i_a5_2_0_i2_0_0_a2_RNI06613_0))
           (portRef B (instanceRef optype_3_2_0__m13))
           (portRef C (instanceRef mode_4_0_0_2))
           (portRef C (instanceRef mode_4_0_a5_1_2))
           (portRef B (instanceRef mode_4_i_0_0))
           (portRef C (instanceRef mode_4_i_a5_1_0))
           (portRef D (instanceRef mode_4_i_a2_0_0_a2_RNI2DM95_0))
          ))
          (net N_251 (joined
           (portRef Z (instanceRef mode_4_i_a2_0_0_a2_0))
           (portRef A (instanceRef mode_4_0_a5_1_2))
           (portRef B (instanceRef mode_4_i_a2_0_0_a2_RNI4OPG3_0))
           (portRef A (instanceRef mode_4_i_1_0))
          ))
          (net (rename mode_4_i_0_0 "mode_4_i_0[0]") (joined
           (portRef Z (instanceRef mode_4_i_0_0))
           (portRef C (instanceRef mode_4_i_1_0))
          ))
          (net mode80_1 (joined
           (portRef Z (instanceRef mode80_1))
           (portRef C (instanceRef mode80_1_RNIB6MM1))
          ))
          (net mode80_2 (joined
           (portRef Z (instanceRef mode80_2))
           (portRef D (instanceRef mode80_1_RNIB6MM1))
          ))
          (net (rename mode87_1_0 "mode87_1[0]") (joined
           (portRef Z (instanceRef mode87_1_0))
           (portRef B (instanceRef mode87_1_RNICP511_0))
          ))
          (net k_p3_valid (joined
           (portRef k_p3_valid)
           (portRef C (instanceRef mode87_1_RNICP511_0))
           (portRef D (instanceRef un1_mode109))
          ))
          (net (rename k_opcode_2 "k_opcode[2]") (joined
           (portRef (member k_opcode 5))
           (portRef C (instanceRef mode_0_2_0_tz_0_1_1))
           (portRef A (instanceRef mode_0_a3_5_1_1))
           (portRef C (instanceRef mode_4_i_a5_2_0_a3_0_0))
           (portRef A (instanceRef mode_4_0_0_2))
           (portRef B (instanceRef mode_0_a3_6_1_1))
           (portRef C (instanceRef mode_4_i_o5_0_0_a2_0))
           (portRef C (instanceRef optype_3_2_0__m8))
           (portRef C (instanceRef optype_3_2_0__m10))
           (portRef B (instanceRef optype_3_2_0__m11))
           (portRef C (instanceRef optype_3_2_0__m17))
          ))
          (net state68_4 (joined
           (portRef Z (instanceRef mode_4_i_a5_2_0_i2_0_0_a2_0))
           (portRef D (instanceRef mode_4_i_a5_2_0_i2_0_0_a2_RNI06613_0))
           (portRef D (instanceRef mode_4_0_0_2))
           (portRef D (instanceRef mode_4_0_a5_1_2))
           (portRef D (instanceRef optype_3_2_0__m8))
           (portRef D (instanceRef optype_3_2_0__m11))
           (portRef D (instanceRef mode_4_i_1_0))
           (portRef state68_4)
          ))
          (net N_21_mux (joined
           (portRef Z (instanceRef optype_3_2_0__m7))
           (portRef B (instanceRef optype_3_2_0__m8))
           (portRef B (instanceRef optype_3_2_0__m10))
          ))
          (net N_69 (joined
           (portRef N_69)
           (portRef A (instanceRef optype_3_2_0__m8))
           (portRef A (instanceRef optype_3_2_0__m11))
          ))
          (net N_76 (joined
           (portRef Z (instanceRef mode_0_m2_1))
           (portRef A (instanceRef mode_4_i_a2_0_0_a2_RNI4OPG3_0))
          ))
          (net (rename mode_0_a3_6_1_1 "mode_0_a3_6_1[1]") (joined
           (portRef Z (instanceRef mode_0_a3_6_1_1))
           (portRef C (instanceRef mode_4_i_a2_0_0_a2_RNI4OPG3_0))
          ))
          (net (rename mode_4_i_a5_2_0_a3_0_0 "mode_4_i_a5_2_0_a3_0[0]") (joined
           (portRef Z (instanceRef mode_4_i_a5_2_0_a3_0_0))
           (portRef A (instanceRef mode_4_i_0_0))
          ))
          (net (rename k_opcode_7 "k_opcode[7]") (joined
           (portRef (member k_opcode 0))
           (portRef C (instanceRef mode_0_m2_1))
           (portRef D (instanceRef mode_4_i_o5_0_0_a2_0_0_0))
           (portRef C (instanceRef optype_3_2_0__m13))
           (portRef D (instanceRef optype_3_2_0__m7))
           (portRef D (instanceRef mode_0_a3_6_1_1))
           (portRef D (instanceRef mode_4_i_0_0))
           (portRef C (instanceRef optype_3_2_0__m16))
          ))
          (net mode78_1 (joined
           (portRef Z (instanceRef mode78_1))
           (portRef B (instanceRef mode77_2_RNI19P11))
          ))
          (net mode80_3 (joined
           (portRef Z (instanceRef mode77_2))
           (portRef D (instanceRef mode77_2_RNI19P11))
          ))
          (net N_14 (joined
           (portRef Z (instanceRef optype_3_2_0__m13))
           (portRef B (instanceRef optype_3_2_0__m15))
          ))
          (net (rename k_opcode_4 "k_opcode[4]") (joined
           (portRef (member k_opcode 3))
           (portRef B (instanceRef mode_4_i_a5_2_0_i2_0_0_a2_RNI06613_0))
           (portRef B (instanceRef mode_0_a3_5_1_1))
           (portRef B (instanceRef mode_4_i_a2_0_0_a2_0))
           (portRef A (instanceRef mode_0_m2_1))
           (portRef D (instanceRef mode_4_i_a5_2_0_a3_0_0))
           (portRef C (instanceRef optype_3_2_0__m15))
           (portRef B (instanceRef mode_4_i_a5_1_0))
          ))
          (net (rename k_opcode_6 "k_opcode[6]") (joined
           (portRef (member k_opcode 1))
           (portRef B (instanceRef mode_0_m2_1))
           (portRef C (instanceRef mode_4_i_o5_0_0_a2_0_0_0))
           (portRef C (instanceRef optype_3_2_0__m7))
           (portRef C (instanceRef mode_0_a3_6_1_1))
           (portRef D (instanceRef optype_3_2_0__m15))
           (portRef C (instanceRef mode_4_i_0_0))
          ))
          (net (rename k_postbyte0_1 "k_postbyte0[1]") (joined
           (portRef (member k_postbyte0 6))
           (portRef B (instanceRef mode79_0_a3_1))
           (portRef B (instanceRef mode78_1))
           (portRef A (instanceRef mode80_1))
           (portRef A (instanceRef mode79_5_0_a3))
           (portRef D (instanceRef mode76_0_RNIP5RH))
          ))
          (net mode79_5 (joined
           (portRef Z (instanceRef mode79_5_0_a3))
           (portRef A (instanceRef optype_0_2))
           (portRef mode79_5)
          ))
          (net mode79_0_a3_1 (joined
           (portRef Z (instanceRef mode79_0_a3_1))
           (portRef C (instanceRef mode79_0_a3))
          ))
          (net mode79 (joined
           (portRef Z (instanceRef mode79_0_a3))
           (portRef C (instanceRef mode77_2_RNI19P11))
           (portRef mode79)
          ))
          (net mode52_1 (joined
           (portRef mode52_1)
           (portRef A (instanceRef use_s_1_0_a2))
           (portRef B (instanceRef mode_4_0_a5_1_2))
           (portRef B (instanceRef mode_4_i_1_0))
          ))
          (net k_opcode_4_rep1 (joined
           (portRef k_opcode_4_rep1)
           (portRef C (instanceRef use_s_1_0_a2))
          ))
          (net (rename state55_3_0 "state55_3[0]") (joined
           (portRef (member state55_3 0))
           (portRef D (instanceRef use_s_1_0_a2))
          ))
          (net use_s_1 (joined
           (portRef Z (instanceRef use_s_1_0_a2))
           (portRef use_s_1)
          ))
          (net (rename mode_4_i_o5_0_0_a2_0_0_0 "mode_4_i_o5_0_0_a2_0_0[0]") (joined
           (portRef Z (instanceRef mode_4_i_o5_0_0_a2_0_0_0))
           (portRef A (instanceRef mode_4_i_o5_0_0_a2_0))
          ))
          (net N_64 (joined
           (portRef N_64)
           (portRef A (instanceRef mode_0_a3_6_1_1))
           (portRef A (instanceRef mode_4_i_a2_0_0_a2_RNI2DM95_0))
          ))
          (net (rename k_opcode_1 "k_opcode[1]") (joined
           (portRef (member k_opcode 6))
           (portRef B (instanceRef mode_0_2_0_tz_0_1_1))
           (portRef B (instanceRef mode_4_i_a5_2_0_a3_0_0))
           (portRef A (instanceRef mode_4_i_o5_0_0_a2_0_0_0))
           (portRef A (instanceRef optype_3_2_0__m13))
           (portRef A (instanceRef optype_3_2_0__m7))
           (portRef D (instanceRef mode_4_i_a2_0_0_a2_RNI4OPG3_0))
          ))
          (net (rename k_postbyte0_0 "k_postbyte0[0]") (joined
           (portRef (member k_postbyte0 7))
           (portRef A (instanceRef mode79_0_a3_1))
           (portRef A (instanceRef mode78_1))
           (portRef A (instanceRef mode80_2))
           (portRef A (instanceRef optype32_0))
           (portRef D (instanceRef optype_0_2))
          ))
          (net (rename k_postbyte0_2 "k_postbyte0[2]") (joined
           (portRef (member k_postbyte0 5))
           (portRef A (instanceRef mode79_5_0_a2))
           (portRef B (instanceRef mode80_1))
           (portRef A (instanceRef mode77_1))
           (portRef B (instanceRef optype32_0))
           (portRef B (instanceRef mode79_5_0_a3))
          ))
          (net (rename k_postbyte0_3 "k_postbyte0[3]") (joined
           (portRef (member k_postbyte0 4))
           (portRef B (instanceRef mode79_5_0_a2))
           (portRef C (instanceRef mode80_1))
           (portRef B (instanceRef mode77_1))
           (portRef C (instanceRef optype32_0))
           (portRef C (instanceRef mode79_5_0_a3))
          ))
          (net (rename k_postbyte0_6 "k_postbyte0[6]") (joined
           (portRef (member k_postbyte0 1))
           (portRef A (instanceRef mode79_0_o2))
           (portRef C (instanceRef mode76_0))
           (portRef D (instanceRef mode78_1))
           (portRef D (instanceRef mode80_1))
           (portRef D (instanceRef mode77_1))
           (portRef C (instanceRef un1_mode93_RNO))
          ))
          (net (rename k_postbyte0_fast_7 "k_postbyte0_fast[7]") (joined
           (portRef k_postbyte0_fast_7)
           (portRef B (instanceRef mode79_0_o2))
          ))
          (net N_75 (joined
           (portRef Z (instanceRef mode79_0_o2))
           (portRef A (instanceRef mode79_0_a3))
           (portRef N_75)
          ))
          (net k_opcode_5_rep1 (joined
           (portRef k_opcode_5_rep1)
           (portRef A (instanceRef optype_3_2_0__m1))
          ))
          (net N_2 (joined
           (portRef Z (instanceRef optype_3_2_0__m1))
           (portRef B (instanceRef use_s_1_0_a2))
           (portRef N_2)
          ))
          (net (rename k_opcode_fast_6 "k_opcode_fast[6]") (joined
           (portRef (member k_opcode_fast 1))
           (portRef A (instanceRef mode_4_i_a5_2_0_i2_0_0_a2_0))
          ))
          (net (rename k_opcode_fast_7 "k_opcode_fast[7]") (joined
           (portRef (member k_opcode_fast 0))
           (portRef B (instanceRef mode_4_i_a5_2_0_i2_0_0_a2_0))
           (portRef B (instanceRef optype_3_2_0__m1))
          ))
          (net k_opcode_1_rep1 (joined
           (portRef k_opcode_1_rep1)
           (portRef A (instanceRef optype_3_2_0__m5_i_o2))
          ))
          (net k_opcode_7_rep1 (joined
           (portRef k_opcode_7_rep1)
           (portRef B (instanceRef optype_3_2_0__m5_i_o2))
          ))
          (net N_135 (joined
           (portRef Z (instanceRef optype_3_2_0__m5_i_o2))
           (portRef A (instanceRef optype_3_2_0__m15))
           (portRef N_135)
          ))
          (net (rename mode_0_2_0_tz_0_1_1 "mode_0_2_0_tz_0_1[1]") (joined
           (portRef Z (instanceRef mode_0_2_0_tz_0_1_1))
           (portRef A (instanceRef mode_4_i_a5_2_0_i2_0_0_a2_RNI06613_0))
          ))
         )
        (property orig_inst_of (string "decode_op"))
       )
    )
    (cell decode_regs (cellType GENERIC)
       (view netlist (viewType NETLIST)
         (interface
           (port k_postbyte0_fast_3 (direction INPUT))
           (port k_postbyte0_fast_2 (direction INPUT))
           (port k_postbyte0_fast_0 (direction INPUT))
           (port k_postbyte0_fast_7 (direction INPUT))
           (port k_postbyte0_fast_6 (direction INPUT))
           (port dec_o_right_path_addr_3 (direction OUTPUT))
           (port dec_o_right_path_addr_0 (direction OUTPUT))
           (port (array (rename k_pp_regs_cnv_4 "k_pp_regs_cnv_4[0:0]") 1) (direction OUTPUT))
           (port (array (rename k_pp_regs_cnv_4_1 "k_pp_regs_cnv_4_1[0:0]") 1) (direction INPUT))
           (port (array (rename path_right_addr_2_f0_0_a3_1_0 "path_right_addr_2_f0_0_a3_1_0[3:3]") 1) (direction OUTPUT))
           (port (array (rename path_right_addr_2_f0_0_o2_0 "path_right_addr_2_f0_0_o2_0[3:3]") 1) (direction OUTPUT))
           (port (array (rename path_left_addr_cnst "path_left_addr_cnst[1:1]") 1) (direction OUTPUT))
           (port (array (rename path_right_addr_4_i_1 "path_right_addr_4_i_1[1:1]") 1) (direction OUTPUT))
           (port (array (rename next_state "next_state[5:5]") 1) (direction INPUT))
           (port (array (rename next_mem_state_i_m "next_mem_state_i_m[5:5]") 1) (direction INPUT))
           (port (array (rename next_push_state_i_m "next_push_state_i_m[1:1]") 1) (direction INPUT))
           (port (array (rename dec_o_p1_optype "dec_o_p1_optype[1:0]") 2) (direction INPUT))
           (port (array (rename state_cnv_3 "state_cnv_3[0:0]") 1) (direction INPUT))
           (port (array (rename k_ealo_cnv_0 "k_ealo_cnv_0[0:0]") 1) (direction INPUT))
           (port (array (rename k_pp_regs_cnv_7 "k_pp_regs_cnv_7[0:0]") 1) (direction INPUT))
           (port (array (rename next_state_cnv_3 "next_state_cnv_3[0:0]") 1) (direction INPUT))
           (port (array (rename state_23_iv_i "state_23_iv_i[5:5]") 1) (direction OUTPUT))
           (port (array (rename dec_o_p1_mode "dec_o_p1_mode[2:0]") 3) (direction INPUT))
           (port k_ind_ea_0 (direction INPUT))
           (port k_ind_ea_3 (direction INPUT))
           (port (array (rename dec_o_dest_reg_addr "dec_o_dest_reg_addr[3:0]") 4) (direction OUTPUT))
           (port (array (rename path_left_addr_3 "path_left_addr_3[1:0]") 2) (direction OUTPUT))
           (port (array (rename un1_postbyte0_1_1 "un1_postbyte0_1_1[0:0]") 1) (direction INPUT))
           (port (array (rename dest_reg_3 "dest_reg_3[0:0]") 1) (direction OUTPUT))
           (port (array (rename k_postbyte0 "k_postbyte0[7:0]") 8) (direction INPUT))
           (port (array (rename path_right_addr_4_0_a3_3_x_rnis4h11 "path_right_addr_4_0_a3_3_x_RNIS4H11[0:0]") 1) (direction OUTPUT))
           (port (array (rename k_opcode_fast "k_opcode_fast[7:0]") 8) (direction INPUT))
           (port (array (rename path_right_addr_4_0_o2_1_rni18u71 "path_right_addr_4_0_o2_1_RNI18U71[0:0]") 1) (direction OUTPUT))
           (port (array (rename k_opcode "k_opcode[7:0]") 8) (direction INPUT))
           (port (array (rename state_o_c "state_o_c[5:0]") 6) (direction INPUT))
           (port dec_o_left_path_addr_0 (direction OUTPUT))
           (port dec_o_left_path_addr_2 (direction OUTPUT))
           (port un1_state_15 (direction INPUT))
           (port un1_state_59 (direction INPUT))
           (port k_cpu_addr_4_sqmuxa (direction OUTPUT))
           (port un1_state_24_0 (direction INPUT))
           (port un1_state_57_0 (direction INPUT))
           (port N_98 (direction OUTPUT))
           (port N_808_2 (direction OUTPUT))
           (port N_1260_1 (direction OUTPUT))
           (port un1_state_40_2 (direction OUTPUT))
           (port N_61 (direction OUTPUT))
           (port un1_state_31_2 (direction OUTPUT))
           (port un1_state_20_1 (direction OUTPUT))
           (port k_p3_valid (direction INPUT))
           (port N_795 (direction OUTPUT))
           (port N_144 (direction OUTPUT))
           (port k_opcode_3_rep1 (direction INPUT))
           (port k_opcode_2_rep1 (direction INPUT))
           (port k_opcode_5_rep1 (direction INPUT))
           (port k_opcode_4_rep1 (direction INPUT))
           (port N_1239_1 (direction INPUT))
           (port k_opcode_6_rep1 (direction INPUT))
           (port state68 (direction OUTPUT))
           (port N_116_i_0 (direction INPUT))
           (port N_106 (direction OUTPUT))
           (port k_opcode_1_rep1 (direction INPUT))
           (port N_75 (direction INPUT))
           (port state68_4 (direction INPUT))
           (port N_794 (direction OUTPUT))
           (port un1_state_49_1 (direction OUTPUT))
           (port N_50 (direction INPUT))
           (port k_opcode_0_rep1 (direction INPUT))
           (port N_725 (direction OUTPUT))
           (port N_289 (direction OUTPUT))
           (port un1_state_61_3 (direction INPUT))
           (port N_736 (direction OUTPUT))
           (port N_69 (direction OUTPUT))
           (port N_295 (direction OUTPUT))
           (port N_767 (direction OUTPUT))
           (port un1_k_p2_valid9 (direction INPUT))
           (port N_1864 (direction OUTPUT))
           (port N_64 (direction OUTPUT))
           (port un1_state_82_4 (direction INPUT))
           (port N_721 (direction OUTPUT))
           (port N_2 (direction INPUT))
           (port N_72 (direction OUTPUT))
           (port un1_state_72 (direction OUTPUT))
           (port N_260 (direction OUTPUT))
           (port N_20 (direction OUTPUT))
           (port mode79 (direction INPUT))
           (port N_754 (direction OUTPUT))
           (port state119 (direction INPUT))
           (port next_state14 (direction INPUT))
           (port N_823 (direction OUTPUT))
           (port N_734_i (direction OUTPUT))
           (port N_1184 (direction OUTPUT))
           (port N_19_m (direction INPUT))
           (port N_733 (direction INPUT))
           (port N_1792 (direction OUTPUT))
           (port k_ofshi_1_sqmuxa_RNITDUU (direction OUTPUT))
           (port k_pp_regs_11_sqmuxa_RNI51AP (direction OUTPUT))
           (port cpu_clk (direction INPUT))
           (port state82 (direction OUTPUT))
           (port k_new_pc_17_sn_N_6_mux (direction OUTPUT))
           (port state79 (direction OUTPUT))
           (port state85 (direction OUTPUT))
           (port state83 (direction OUTPUT))
           (port k_ofshi_1_sqmuxa (direction OUTPUT))
           (port state84_1_RNIGJEL7 (direction OUTPUT))
           (port N_1796_1 (direction OUTPUT))
           (port un1_k_cpu_addr_3_sqmuxa (direction OUTPUT))
           (port un1_state_73 (direction INPUT))
           (port k_dec_su_3 (direction INPUT))
           (port cpu_reset_i_4 (direction INPUT))
           (port k_pp_regs59_RNIJ43P2 (direction OUTPUT))
           (port un1_state_84 (direction INPUT))
           (port k_pp_regs59 (direction OUTPUT))
           (port k_pp_regs_11_sqmuxa_RNIHU8Q6 (direction OUTPUT))
           (port k_pp_regs_11_sqmuxa (direction OUTPUT))
           (port state78_RNILVOM7 (direction OUTPUT))
           (port state78 (direction OUTPUT))
           (port un1_state_110 (direction OUTPUT))
           (port un1_state_83 (direction INPUT))
           (port un1_state_1_sqmuxa (direction INPUT))
           (port un1_next_state_1_sqmuxa (direction INPUT))
           (port state81_RNIRM564 (direction OUTPUT))
           (port un1_state_33 (direction INPUT))
           (port state81 (direction OUTPUT))
           (port N_720 (direction INPUT))
           (port un1_k_opcode_3 (direction OUTPUT))
           (port N_818_2 (direction OUTPUT))
           (port N_847 (direction OUTPUT))
           (port N_1262_1 (direction INPUT))
           (port N_1167 (direction OUTPUT))
           (port mode76_1 (direction INPUT))
           (port N_771 (direction OUTPUT))
           (port k_p2_valid_fast (direction INPUT))
           (port k_p3_valid_fast (direction INPUT))
           (port mode79_5 (direction INPUT))
           (port N_804 (direction OUTPUT))
           (port N_787 (direction OUTPUT))
           (port N_826 (direction OUTPUT))
           (port N_791 (direction OUTPUT))
           (port N_824 (direction OUTPUT))
           (port un1_state_34 (direction OUTPUT))
           (port N_1243_2 (direction INPUT))
           (port N_1436 (direction INPUT))
           (port N_821 (direction OUTPUT))
           (port N_828 (direction OUTPUT))
           (port N_1255 (direction OUTPUT))
           (port k_opcode_2_rep2 (direction INPUT))
           (port k_opcode_3_rep2 (direction INPUT))
           (port k_opcode_7_rep1 (direction INPUT))
           (port un1_dest_reg_2_sqmuxa_1_0 (direction OUTPUT))
           (port un1_state_53 (direction OUTPUT))
           (port un1_state_57_2 (direction OUTPUT))
           (port k_clear_e_3_2 (direction OUTPUT))
           (port N_298 (direction OUTPUT))
           (port un1_dest_reg_2_sqmuxa_1_1_0_2 (direction OUTPUT))
         )
         (contents
          (instance (rename path_left_addr_x0_RNIRMNU_2 "path_left_addr_x0_RNIRMNU[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename path_left_addr_cnst_i_RNIADK5_2 "path_left_addr_cnst_i_RNIADK5[2]") (viewRef PRIM (cellRef INV (libraryRef LUCENT)))          )
          (instance k_clear_e_3_2_RNI3H921 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (C (B A)))"))
          )
          (instance (rename path_right_addr_4_0_o2_1_RNI18U71_0 "path_right_addr_4_0_o2_1_RNI18U71[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D B+D (!C (B+A)+C A))"))
          )
          (instance (rename path_right_addr_4_0_a3_3_x_RNIS4H11_0 "path_right_addr_4_0_a3_3_x_RNIS4H11[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A))"))
          )
          (instance (rename path_right_addr_4_0_a3_3_x_RNIR6RA1_0 "path_right_addr_4_0_a3_3_x_RNIR6RA1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A+C (B+A))+D A)"))
          )
          (instance (rename path_right_addr_2_f0_0_RNO_3 "path_right_addr_2_f0_0_RNO[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!B A)+D (C (!B A)))"))
          )
          (instance un1_state_86_i_a6_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (!B !A)))"))
          )
          (instance (rename state_cnst_0_a3_1_1 "state_cnst_0_a3_1[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (C (B !A)))"))
          )
          (instance (rename state_23_iv_0_RNO_5 "state_23_iv_0_RNO[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (B !A)))"))
          )
          (instance (rename state_cnst_0_a3_2 "state_cnst_0_a3[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A))"))
          )
          (instance un1_state_34 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (B !A)))"))
          )
          (instance (rename state_cnst_0_a3_0_RNIIVU61_1 "state_cnst_0_a3_0_RNIIVU61[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (B A)))"))
          )
          (instance (rename state_cnst_i_a3_2_RNIIKFJ1_0 "state_cnst_i_a3_2_RNIIKFJ1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (C (B A)))"))
          )
          (instance (rename state_cnst_0_a3_0_RNI3D1Q_1 "state_cnst_0_a3_0_RNI3D1Q[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C (!B A))"))
          )
          (instance un1_state_81_1_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B !A)))"))
          )
          (instance (rename dest_reg_3_0 "dest_reg_3[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C+(!B+A))+D (!B+A))"))
          )
          (instance (rename state_cnst_0_a9_1_1 "state_cnst_0_a9_1[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (B !A)+D (!C (B !A)+C !A))"))
          )
          (instance (rename path_left_addr_3_1 "path_left_addr_3[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C+(!B+!A))+D (!B+!A))"))
          )
          (instance datamux_o_dest14_RNI9OGJ (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D B+D (!C B+C (B A)))"))
          )
          (instance (rename state_cnst_0_a3_7_1 "state_cnst_0_a3_7[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (C (!B !A)))"))
          )
          (instance (rename state_23_iv_i_RNO_5 "state_23_iv_i_RNO[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A)))"))
          )
          (instance (rename state_23_iv_i_5 "state_23_iv_i[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (!B !A)))"))
          )
          (instance state81_RNIRM564 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A+C (B A))+D (B A))"))
          )
          (instance un1_state_110 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D+(C+(B+A)))"))
          )
          (instance state78_RNILVOM7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D B+D (!C B+C (B A)))"))
          )
          (instance k_pp_regs_11_sqmuxa_RNIHU8Q6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B !A)+C B)+D (C B))"))
          )
          (instance k_pp_regs59_RNIJ43P2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C A+C (B A)))"))
          )
          (instance un1_k_cpu_addr_3_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (B A)+D (!C (B A)+C A))"))
          )
          (instance state84_1_RNIGJEL7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D C+D (C (B+!A)))"))
          )
          (instance k_pp_regs59 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (!B A))"))
          )
          (instance un1_state_81_1_RNIFGDF1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (!B A)+C A))"))
          )
          (instance state78 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B !A))"))
          )
          (instance state85 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(C (B A))"))
          )
          (instance state79 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A))"))
          )
          (instance state81 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A))"))
          )
          (instance k_new_pc_17_sn_m4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(C (B+!A))"))
          )
          (instance k_pp_regs_11_sqmuxa_RNI51AP (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A))"))
          )
          (instance k_ofshi_1_sqmuxa_RNITDUU (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A))"))
          )
          (instance (rename state_cnst_i_a9_5 "state_cnst_i_a9[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (!B A))+D (!C (!B A)))"))
          )
          (instance state78_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!B !A)"))
          )
          (instance state84_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B A)"))
          )
          (instance next_state_10_1_791_o5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B+A)"))
          )
          (instance state83 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(C (!B A))"))
          )
          (instance state82 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(C (!B !A))"))
          )
          (instance (rename state_23_iv_7_5 "state_23_iv_7[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C B)+D (B !A))"))
          )
          (instance datamux_o_dest_sn_m2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B+!A)+C !B)"))
          )
          (instance (rename state_cnst_0_x3_1 "state_cnst_0_x3[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!B A+B !A)"))
          )
          (instance state80_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!B !A)"))
          )
          (instance (rename state_23_iv_6_5 "state_23_iv_6[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (B+A)+D (!C+(B+A)))"))
          )
          (instance (rename state_cnst_0_o2_3_1 "state_cnst_0_o2_3[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B+A)+C B)"))
          )
          (instance datamux_o_dest14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A))"))
          )
          (instance (rename dest_reg_am_2 "dest_reg_am[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C+(!B !A))"))
          )
          (instance (rename dest_reg_bm_2 "dest_reg_bm[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D+(C+(B+A)))"))
          )
          (instance (rename dest_reg_2 "dest_reg[2]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename dest_reg_am_0 "dest_reg_am[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(C+(!B+!A))"))
          )
          (instance (rename dest_reg_bm_0 "dest_reg_bm[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B !A))"))
          )
          (instance (rename dest_reg_0 "dest_reg[0]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename dest_reg_3 "dest_reg[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (!B+!A))"))
          )
          (instance (rename state_23_iv_4_5 "state_23_iv_4[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (B+A)+D (!C+(B+A)))"))
          )
          (instance (rename dest_reg_1 "dest_reg[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C !A+C (!B+!A))+D !A)"))
          )
          (instance un1_state_81_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C+(B+!A))+D (C+B))"))
          )
          (instance un1_dest_reg50_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C+B)+D (C+(B+A)))"))
          )
          (instance un1_k_opcode_3_RNI5NUH1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!B !A)"))
          )
          (instance (rename state_cnst_i_a9_9_0 "state_cnst_i_a9_9[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (B A)))"))
          )
          (instance (rename path_left_addr_cnst_i_0 "path_left_addr_cnst_i[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D+(!C B+C (B+!A)))"))
          )
          (instance (rename state_23_iv_2_5 "state_23_iv_2[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C+A)+D (C+(B+A)))"))
          )
          (instance un1_dest_reg50_i_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (!B !A)+C !B)+D (C (!B A)))"))
          )
          (instance (rename path_right_addr_4_0_1_0_tz_0 "path_right_addr_4_0_1_0_tz[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C+(B+A))+D (!C+(B+A)))"))
          )
          (instance (rename state_cnst_0_o2_0_1 "state_cnst_0_o2_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!B+!A)"))
          )
          (instance un1_state_72 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D C+D (C+(B !A)))"))
          )
          (instance (rename dest_reg_cnst_i_3 "dest_reg_cnst_i[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C+(B+A))+D (C+B))"))
          )
          (instance k_ofshi_1_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (C (B !A)))"))
          )
          (instance (rename path_right_addr_4_i_1_1 "path_right_addr_4_i_1[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B+A)+C A)+D A)"))
          )
          (instance path_left_addr12_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D B+D (!C B+C (B+!A)))"))
          )
          (instance (rename dest_reg_cnst_i_2_0 "dest_reg_cnst_i_2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C (B+!A))"))
          )
          (instance (rename dest_reg_cnst_i_3_0 "dest_reg_cnst_i_3[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A)+C (!B A))+D (C (!B A)))"))
          )
          (instance (rename dest_reg_cnst_0_a4_1_2 "dest_reg_cnst_0_a4_1[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (C (B !A)))"))
          )
          (instance un1_state_81_1_o4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C !A+C (B A))"))
          )
          (instance (rename state_cnst_0_a9_3 "state_cnst_0_a9[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A)))"))
          )
          (instance un1_k_opcode_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (B !A)))"))
          )
          (instance (rename dest_reg_cnst_0_a4_2_2 "dest_reg_cnst_0_a4_2[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (!B A)))"))
          )
          (instance un1_postbyte0_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (!B !A)))"))
          )
          (instance (rename path_left_addr_cnst_0_a3_1 "path_left_addr_cnst_0_a3[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (B A)))"))
          )
          (instance state68 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (C (B !A)))"))
          )
          (instance (rename path_left_addr_cnst_i_0_0 "path_left_addr_cnst_i_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B+A)+C B)+D (!C A))"))
          )
          (instance (rename path_right_addr_4_i_0_1 "path_right_addr_4_i_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D A+D (!C (!B+A)+C A))"))
          )
          (instance un1_dest_reg50_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C+A)+D (!B+A))"))
          )
          (instance (rename dest_reg_cnst_i_0_0 "dest_reg_cnst_i_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D !B+D (!C A))"))
          )
          (instance (rename dest_reg_cnst_i_1_0 "dest_reg_cnst_i_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D B+D (!C B+C (B+!A)))"))
          )
          (instance (rename path_right_addr_2_f0_0_o2_0_3 "path_right_addr_2_f0_0_o2_0[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (!B+!A))+D (C (B !A)))"))
          )
          (instance (rename state_23_iv_0_5 "state_23_iv_0[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A+C (B+A))+D A)"))
          )
          (instance un1_dest_reg_2_sqmuxa_1_1_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C B+C (B A))+D (C+B))"))
          )
          (instance un1_dest_reg_2_sqmuxa_1_1_a3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B !A))"))
          )
          (instance (rename dest_reg_cnst_i_a2_0_3 "dest_reg_cnst_i_a2_0[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(C (B A))"))
          )
          (instance (rename dest_reg_cnst_i_a4_0 "dest_reg_cnst_i_a4[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A)+C B))"))
          )
          (instance (rename path_left_addr_cnst_i_2 "path_left_addr_cnst_i[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(C+(!B A+B !A))"))
          )
          (instance un1_dest_reg_2_sqmuxa_1_1_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C+(!B+!A))"))
          )
          (instance (rename path_right_addr_4_0_a2_0 "path_right_addr_4_0_a2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (C (B A)))"))
          )
          (instance (rename path_right_addr_2_f0_0_o3_0_3 "path_right_addr_2_f0_0_o3_0[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D+(C+(!B+!A)))"))
          )
          (instance un1_dest_reg50_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C+(B+!A))"))
          )
          (instance (rename path_right_addr_4_0_o2_1_0 "path_right_addr_4_0_o2_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C+(!B+!A))+D (!C+(B+!A)))"))
          )
          (instance (rename state_cnst_0_a3_0_1 "state_cnst_0_a3_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A))"))
          )
          (instance (rename path_right_addr_4_i_a3_1 "path_right_addr_4_i_a3[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (!B !A)+C !B))"))
          )
          (instance (rename dest_reg_cnst_0_a4_0_2 "dest_reg_cnst_0_a4_0[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (B !A)))"))
          )
          (instance (rename state_cnst_0_a9_0_3 "state_cnst_0_a9_0[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (B !A)))"))
          )
          (instance (rename dest_reg_cnst_i_a4_3_1_0 "dest_reg_cnst_i_a4_3_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(C (B !A))"))
          )
          (instance (rename path_right_addr_4_0_a3_1_0_0 "path_right_addr_4_0_a3_1_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(C (!B !A))"))
          )
          (instance un1_postbyte0_14_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (!B !A)))"))
          )
          (instance (rename path_right_addr_4_0_1_0_tz_0_0 "path_right_addr_4_0_1_0_tz_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C+(!B !A))+D (!B !A))"))
          )
          (instance (rename path_right_addr_2_f0_0_a2_0_3 "path_right_addr_2_f0_0_a2_0[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B+!A)+C (!B A))"))
          )
          (instance un1_state_20_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B !A)"))
          )
          (instance (rename state_cnst_i_o2_0_4 "state_cnst_i_o2_0[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B+A)"))
          )
          (instance path_left_addr17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B+A)"))
          )
          (instance (rename state_cnst_i_a3_2_0 "state_cnst_i_a3_2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!B A)"))
          )
          (instance un1_state_31_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B !A)"))
          )
          (instance (rename path_left_addr_cnst_i_o2_0_0 "path_left_addr_cnst_i_o2_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B+!A)"))
          )
          (instance (rename dest_reg_cnst_i_o2_0 "dest_reg_cnst_i_o2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!B+!A)"))
          )
          (instance (rename path_right_addr_4_i_o2_1 "path_right_addr_4_i_o2[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B+!A)"))
          )
          (instance (rename path_right_addr_4_0_o2_4_0 "path_right_addr_4_0_o2_4[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!B+A)"))
          )
          (instance (rename path_right_addr_2_f0_0_o3_5_3 "path_right_addr_2_f0_0_o3_5[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!B+!A)"))
          )
          (instance (rename un1_opcode_20_3_0_a2_0 "un1_opcode_20_3_0_a2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!B !A)"))
          )
          (instance (rename state_cnst_0_o2_2 "state_cnst_0_o2[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B+A)"))
          )
          (instance state68_1_0_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B A)"))
          )
          (instance un1_state_15_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B A)"))
          )
          (instance un1_state_49_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B A)"))
          )
          (instance k_clear_e_3_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!B !A)"))
          )
          (instance un1_state_34_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B !A)"))
          )
          (instance un1_state_18_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!B !A)"))
          )
          (instance (rename state_cnst_0_a9_7_0_1 "state_cnst_0_a9_7_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!B !A)"))
          )
          (instance un1_postbyte0_3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B A)"))
          )
          (instance un1_k_opcode_3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B A)"))
          )
          (instance k_ofshi_1_sqmuxa_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!B A)"))
          )
          (instance (rename path_right_addr_2_f0_0_a3_1_0_3 "path_right_addr_2_f0_0_a3_1_0[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!B A)"))
          )
          (instance un1_state_31_2_RNIM5732 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A)))"))
          )
          (instance (rename path_left_addr_mb_0 "path_left_addr_mb[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B !A)+C (B+A))+D C)"))
          )
          (instance (rename path_right_addr_4_0_1_0 "path_right_addr_4_0_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B+!A)+C !A)"))
          )
          (instance (rename path_right_addr_4_0_0 "path_right_addr_4_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A+C (B+A))+D (C+(!B+A)))"))
          )
          (instance (rename path_right_addr_2_f0_0_3 "path_right_addr_2_f0_0[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D+(!C (B+A)+C A))"))
          )
          (instance (rename path_right_addr_4_0_a3_3_sx_0 "path_right_addr_4_0_a3_3_sx[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D+(!C+(!B+!A)))"))
          )
          (instance un1_dest_reg_2_sqmuxa_1_1_0_2_x0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C A)"))
          )
          (instance (rename path_right_addr_4_0_a3_3_x_0 "path_right_addr_4_0_a3_3_x[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A))"))
          )
          (instance (rename path_right_addr_4_0_1_0_tz_1_sx_0 "path_right_addr_4_0_1_0_tz_1_sx[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!B+A)"))
          )
          (instance (rename path_right_addr_4_0_1_0_tz_1_0 "path_right_addr_4_0_1_0_tz_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C+(!B+A))+D (!C (B+A)+C A))"))
          )
          (instance (rename path_right_addr_4_0_a3_3_sx_0_0 "path_right_addr_4_0_a3_3_sx_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D+(!C+(B+!A)))"))
          )
          (instance (rename path_left_addr_3_sx_0 "path_left_addr_3_sx[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D+(C+(!B !A)))"))
          )
          (instance (rename path_left_addr_rn_sx_sx_0 "path_left_addr_rn_sx_sx[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B+!A))"))
          )
          (instance (rename path_left_addr_3_sx_0_0 "path_left_addr_3_sx_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C+(!B+!A))+D (!C+(B !A)))"))
          )
          (instance (rename path_left_addr_3_0 "path_left_addr_3[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B+A)"))
          )
          (instance (rename path_right_addr_4_0_a3_3_sx_x_0 "path_right_addr_4_0_a3_3_sx_x[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(C+(!B+!A))"))
          )
          (instance (rename path_right_addr_4_0_a3_3_0 "path_right_addr_4_0_a3_3[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(C (!B !A))"))
          )
          (instance (rename path_left_addr_x0_2 "path_left_addr_x0[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (!B A)+C (!B+!A))+D (!B+!A))"))
          )
          (instance (rename path_left_addr_rn_sx_0 "path_left_addr_rn_sx[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C+(!B+A))+D A)"))
          )
          (instance (rename dest_reg_cnst_i_a4_sx_3 "dest_reg_cnst_i_a4_sx[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(C+(!B+A))"))
          )
          (instance un1_dest_reg_2_sqmuxa_1_1_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(C+(B+A))"))
          )
          (instance (rename path_left_addr_rn_sx_0_0 "path_left_addr_rn_sx_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(C (B !A))"))
          )
          (instance (rename path_left_addr_rn_0 "path_left_addr_rn[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (!B+A)))"))
          )
          (instance (rename dest_reg_cnst_i_a4_3 "dest_reg_cnst_i_a4[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (B !A)))"))
          )
          (instance k_cpu_addr_4_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (B A))+D (B A))"))
          )
          (instance un1_state_110_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(C+(B+A))"))
          )
          (instance k_pp_regs_11_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (C (B A)))"))
          )
          (instance GND (viewRef PRIM (cellRef VLO (libraryRef LUCENT)))          )
          (net N_298_i (joined
           (portRef Z (instanceRef path_left_addr_cnst_i_RNIADK5_2))
           (portRef A (instanceRef path_left_addr_x0_RNIRMNU_2))
          ))
          (net (rename path_left_addr_x0_2 "path_left_addr_x0[2]") (joined
           (portRef Z (instanceRef path_left_addr_x0_2))
           (portRef B (instanceRef path_left_addr_x0_RNIRMNU_2))
          ))
          (net (rename dec_o_left_path_addr_2 "dec_o_left_path_addr[2]") (joined
           (portRef Z (instanceRef path_left_addr_x0_RNIRMNU_2))
           (portRef dec_o_left_path_addr_2)
          ))
          (net (rename path_right_addr_4_0_a3_1_0_0 "path_right_addr_4_0_a3_1_0[0]") (joined
           (portRef Z (instanceRef path_right_addr_4_0_a3_1_0_0))
           (portRef D (instanceRef path_right_addr_4_0_0))
           (portRef B (instanceRef path_right_addr_4_0_1_0))
           (portRef A (instanceRef path_right_addr_4_0_o2_1_RNI18U71_0))
          ))
          (net (rename path_right_addr_4_0_1_0_tz_0 "path_right_addr_4_0_1_0_tz[0]") (joined
           (portRef Z (instanceRef path_right_addr_4_0_1_0_tz_0))
           (portRef C (instanceRef path_right_addr_4_0_0))
           (portRef B (instanceRef path_right_addr_4_0_o2_1_RNI18U71_0))
          ))
          (net N_68 (joined
           (portRef Z (instanceRef path_right_addr_4_0_o2_1_0))
           (portRef A (instanceRef path_right_addr_4_0_1_0))
           (portRef D (instanceRef path_right_addr_4_0_o2_1_RNI18U71_0))
          ))
          (net (rename path_right_addr_4_0_o2_1_RNI18U71_0 "path_right_addr_4_0_o2_1_RNI18U71[0]") (joined
           (portRef Z (instanceRef path_right_addr_4_0_o2_1_RNI18U71_0))
           (portRef (member path_right_addr_4_0_o2_1_rni18u71 0))
          ))
          (net (rename path_right_addr_4_0_a3_3_x_0 "path_right_addr_4_0_a3_3_x[0]") (joined
           (portRef Z (instanceRef path_right_addr_4_0_a3_3_x_0))
           (portRef B (instanceRef path_right_addr_4_0_a3_3_x_RNIR6RA1_0))
           (portRef A (instanceRef path_right_addr_4_0_a3_3_x_RNIS4H11_0))
          ))
          (net (rename path_right_addr_4_0_a3_3_x_RNIS4H11_0 "path_right_addr_4_0_a3_3_x_RNIS4H11[0]") (joined
           (portRef Z (instanceRef path_right_addr_4_0_a3_3_x_RNIS4H11_0))
           (portRef (member path_right_addr_4_0_a3_3_x_rnis4h11 0))
          ))
          (net un1_dest_reg_2_sqmuxa_1_1_0_2 (joined
           (portRef Z (instanceRef un1_dest_reg_2_sqmuxa_1_1_0_2))
           (portRef D (instanceRef path_left_addr_mb_0))
           (portRef A (instanceRef path_right_addr_4_0_a3_3_x_RNIR6RA1_0))
           (portRef C (instanceRef path_left_addr_x0_RNIRMNU_2))
           (portRef un1_dest_reg_2_sqmuxa_1_1_0_2)
          ))
          (net (rename k_opcode_fast_3 "k_opcode_fast[3]") (joined
           (portRef (member k_opcode_fast 4))
           (portRef C (instanceRef dest_reg_cnst_i_a4_sx_3))
           (portRef C (instanceRef path_right_addr_4_0_a3_3_sx_0_0))
           (portRef B (instanceRef path_right_addr_4_0_o2_4_0))
           (portRef B (instanceRef path_right_addr_4_i_o2_1))
           (portRef C (instanceRef path_right_addr_4_0_a3_3_x_RNIR6RA1_0))
           (portRef B (instanceRef path_right_addr_4_0_a3_3_x_RNIS4H11_0))
          ))
          (net (rename k_opcode_fast_1 "k_opcode_fast[1]") (joined
           (portRef (member k_opcode_fast 6))
           (portRef B (instanceRef path_right_addr_4_0_a3_3_sx_0_0))
           (portRef A (instanceRef path_right_addr_4_0_o2_4_0))
           (portRef C (instanceRef un1_dest_reg_2_sqmuxa_1_1_0_0))
           (portRef D (instanceRef path_right_addr_4_0_a3_3_x_RNIR6RA1_0))
           (portRef C (instanceRef path_right_addr_4_0_a3_3_x_RNIS4H11_0))
          ))
          (net un1_dest_reg_2_sqmuxa_1_0 (joined
           (portRef Z (instanceRef path_right_addr_4_0_a3_3_x_RNIR6RA1_0))
           (portRef un1_dest_reg_2_sqmuxa_1_0)
          ))
          (net (rename path_right_addr_2_f0_0_a2_0_3 "path_right_addr_2_f0_0_a2_0[3]") (joined
           (portRef Z (instanceRef path_right_addr_2_f0_0_a2_0_3))
           (portRef B (instanceRef path_right_addr_2_f0_0_RNO_3))
          ))
          (net (rename path_right_addr_2_f0_0_1_0_3 "path_right_addr_2_f0_0_1_0[3]") (joined
           (portRef Z (instanceRef path_right_addr_2_f0_0_RNO_3))
           (portRef C (instanceRef path_right_addr_2_f0_0_3))
          ))
          (net (rename state_o_c_0 "state_o_c[0]") (joined
           (portRef (member state_o_c 5))
           (portRef A (instanceRef un1_state_34_1))
           (portRef A (instanceRef un1_state_49_1))
           (portRef A (instanceRef state_cnst_i_o2_0_4))
           (portRef A (instanceRef un1_state_20_1))
           (portRef B (instanceRef state_cnst_0_o2_0_1))
           (portRef D (instanceRef state_23_iv_2_5))
           (portRef C (instanceRef state_cnst_i_a9_9_0))
           (portRef D (instanceRef state_cnst_0_a9_1_1))
           (portRef A (instanceRef un1_state_81_1_RNO))
           (portRef D (instanceRef state_cnst_i_a3_2_RNIIKFJ1_0))
           (portRef A (instanceRef state_cnst_0_a3_0_RNIIVU61_1))
           (portRef D (instanceRef un1_state_34))
           (portRef D (instanceRef state_23_iv_0_RNO_5))
           (portRef D (instanceRef state_cnst_0_a3_1_1))
           (portRef B (instanceRef un1_state_86_i_a6_2))
           (portRef D (instanceRef k_clear_e_3_2_RNI3H921))
          ))
          (net (rename state_o_c_2 "state_o_c[2]") (joined
           (portRef (member state_o_c 3))
           (portRef A (instanceRef state_cnst_0_a9_7_0_1))
           (portRef A (instanceRef un1_state_18_2))
           (portRef B (instanceRef state_cnst_i_a3_2_0))
           (portRef B (instanceRef state_cnst_i_o2_0_4))
           (portRef B (instanceRef state_cnst_0_a9_0_3))
           (portRef B (instanceRef state_cnst_0_o2_3_1))
           (portRef D (instanceRef un1_state_81_1_RNO))
           (portRef B (instanceRef state_cnst_0_a3_0_RNI3D1Q_1))
           (portRef C (instanceRef state_cnst_0_a3_0_RNIIVU61_1))
           (portRef C (instanceRef state_cnst_0_a3_1_1))
           (portRef C (instanceRef un1_state_86_i_a6_2))
           (portRef C (instanceRef k_clear_e_3_2_RNI3H921))
          ))
          (net N_1255 (joined
           (portRef Z (instanceRef un1_state_86_i_a6_2))
           (portRef N_1255)
          ))
          (net (rename state_o_c_5 "state_o_c[5]") (joined
           (portRef (member state_o_c 0))
           (portRef B (instanceRef state_cnst_0_a9_7_0_1))
           (portRef B (instanceRef un1_state_15_3))
           (portRef D (instanceRef state_cnst_0_a9_0_3))
           (portRef C (instanceRef state_cnst_0_a3_0_1))
           (portRef D (instanceRef state_23_iv_0_5))
           (portRef D (instanceRef state_cnst_0_a9_3))
           (portRef C (instanceRef un1_state_81_1_o4))
           (portRef D (instanceRef state_cnst_i_a9_9_0))
           (portRef D (instanceRef un1_state_81_1))
           (portRef B (instanceRef state_cnst_0_a3_7_1))
           (portRef A (instanceRef state_cnst_i_a3_2_RNIIKFJ1_0))
           (portRef B (instanceRef state_cnst_0_a3_2))
           (portRef A (instanceRef state_23_iv_0_RNO_5))
           (portRef A (instanceRef state_cnst_0_a3_1_1))
           (portRef A (instanceRef un1_state_86_i_a6_2))
          ))
          (net (rename state_o_c_3 "state_o_c[3]") (joined
           (portRef (member state_o_c 2))
           (portRef B (instanceRef k_ofshi_1_sqmuxa_0))
           (portRef B (instanceRef un1_state_18_2))
           (portRef B (instanceRef k_clear_e_3_2))
           (portRef A (instanceRef state_cnst_0_o2_2))
           (portRef B (instanceRef un1_state_31_2))
           (portRef C (instanceRef state_cnst_0_a9_0_3))
           (portRef A (instanceRef state_cnst_0_a3_0_1))
           (portRef C (instanceRef state_cnst_0_o2_3_1))
           (portRef C (instanceRef state_cnst_0_a3_7_1))
           (portRef C (instanceRef un1_state_81_1_RNO))
           (portRef C (instanceRef state_cnst_0_a3_2))
           (portRef B (instanceRef state_23_iv_0_RNO_5))
           (portRef B (instanceRef state_cnst_0_a3_1_1))
          ))
          (net (rename state_o_c_1 "state_o_c[1]") (joined
           (portRef (member state_o_c 4))
           (portRef A (instanceRef k_ofshi_1_sqmuxa_0))
           (portRef A (instanceRef k_clear_e_3_2))
           (portRef A (instanceRef un1_state_31_2))
           (portRef A (instanceRef state_cnst_i_a3_2_0))
           (portRef B (instanceRef un1_state_20_1))
           (portRef A (instanceRef state_cnst_0_a9_0_3))
           (portRef A (instanceRef un1_state_81_1_o4))
           (portRef D (instanceRef state_23_iv_7_5))
           (portRef A (instanceRef state_cnst_0_a9_1_1))
           (portRef C (instanceRef state_cnst_0_a3_0_RNI3D1Q_1))
           (portRef D (instanceRef state_cnst_0_a3_0_RNIIVU61_1))
           (portRef C (instanceRef state_23_iv_0_RNO_5))
           (portRef D (instanceRef un1_state_86_i_a6_2))
          ))
          (net N_815 (joined
           (portRef Z (instanceRef state_23_iv_0_RNO_5))
           (portRef A (instanceRef state_23_iv_0_5))
          ))
          (net N_1436 (joined
           (portRef N_1436)
           (portRef A (instanceRef un1_state_34))
          ))
          (net N_1243_2 (joined
           (portRef N_1243_2)
           (portRef B (instanceRef un1_state_34))
          ))
          (net (rename state_o_c_4 "state_o_c[4]") (joined
           (portRef (member state_o_c 1))
           (portRef B (instanceRef un1_state_34_1))
           (portRef B (instanceRef un1_state_49_1))
           (portRef A (instanceRef un1_state_15_3))
           (portRef B (instanceRef state_cnst_0_o2_2))
           (portRef B (instanceRef state_cnst_0_a3_0_1))
           (portRef C (instanceRef state_23_iv_0_5))
           (portRef B (instanceRef un1_state_81_1_o4))
           (portRef C (instanceRef state_cnst_0_a9_1_1))
           (portRef C (instanceRef state_cnst_i_a3_2_RNIIKFJ1_0))
           (portRef C (instanceRef un1_state_34))
           (portRef A (instanceRef state_cnst_0_a3_2))
          ))
          (net N_791 (joined
           (portRef Z (instanceRef state_cnst_0_a3_0_RNIIVU61_1))
           (portRef N_791)
          ))
          (net N_787 (joined
           (portRef Z (instanceRef state_cnst_i_a3_2_RNIIKFJ1_0))
           (portRef N_787)
          ))
          (net N_824 (joined
           (portRef Z (instanceRef state_cnst_0_a3_0_1))
           (portRef A (instanceRef state_cnst_i_a9_5))
           (portRef A (instanceRef state_23_iv_i_RNO_5))
           (portRef A (instanceRef state_cnst_0_a3_0_RNI3D1Q_1))
           (portRef B (instanceRef state_cnst_0_a3_0_RNIIVU61_1))
           (portRef N_824)
          ))
          (net N_1259 (joined
           (portRef Z (instanceRef un1_state_81_1_o4))
           (portRef B (instanceRef un1_state_81_1_RNO))
          ))
          (net N_1260 (joined
           (portRef Z (instanceRef un1_state_81_1_RNO))
           (portRef B (instanceRef un1_state_81_1))
          ))
          (net N_828 (joined
           (portRef Z (instanceRef state_cnst_0_a3_1_1))
           (portRef B (instanceRef state_cnst_0_a9_1_1))
           (portRef N_828)
          ))
          (net N_771 (joined
           (portRef Z (instanceRef state_cnst_0_a9_1_1))
           (portRef N_771)
          ))
          (net mode76_1 (joined
           (portRef mode76_1)
           (portRef A (instanceRef path_left_addr_3_1))
          ))
          (net (rename un1_postbyte0_1_1_0 "un1_postbyte0_1_1[0]") (joined
           (portRef (member un1_postbyte0_1_1 0))
           (portRef B (instanceRef path_left_addr_3_1))
          ))
          (net k_p3_valid_fast (joined
           (portRef k_p3_valid_fast)
           (portRef B (instanceRef path_left_addr_3_sx_0))
           (portRef B (instanceRef path_left_addr17))
           (portRef C (instanceRef path_left_addr_3_1))
           (portRef C (instanceRef dest_reg_3_0))
          ))
          (net k_p2_valid_fast (joined
           (portRef k_p2_valid_fast)
           (portRef A (instanceRef path_left_addr_3_sx_0))
           (portRef A (instanceRef path_left_addr17))
           (portRef A (instanceRef path_right_addr_4_0_1_0_tz_0_0))
           (portRef D (instanceRef path_left_addr_3_1))
           (portRef D (instanceRef dest_reg_3_0))
          ))
          (net (rename path_left_addr_3_1 "path_left_addr_3[1]") (joined
           (portRef Z (instanceRef path_left_addr_3_1))
           (portRef (member path_left_addr_3 0))
          ))
          (net datamux_o_dest14 (joined
           (portRef Z (instanceRef datamux_o_dest14))
           (portRef B (instanceRef datamux_o_dest14_RNI9OGJ))
          ))
          (net (rename k_ind_ea_3 "k_ind_ea[7]") (joined
           (portRef k_ind_ea_3)
           (portRef C (instanceRef datamux_o_dest14_RNI9OGJ))
          ))
          (net (rename k_ind_ea_0 "k_ind_ea[4]") (joined
           (portRef k_ind_ea_0)
           (portRef D (instanceRef datamux_o_dest14_RNI9OGJ))
          ))
          (net N_1167 (joined
           (portRef Z (instanceRef datamux_o_dest14_RNI9OGJ))
           (portRef N_1167)
          ))
          (net N_847 (joined
           (portRef Z (instanceRef state_cnst_0_a3_7_1))
           (portRef N_847)
          ))
          (net N_818 (joined
           (portRef Z (instanceRef state_23_iv_i_RNO_5))
           (portRef B (instanceRef state_23_iv_i_5))
          ))
          (net N_812 (joined
           (portRef Z (instanceRef state_cnst_i_a9_5))
           (portRef A (instanceRef state_23_iv_i_5))
          ))
          (net (rename state_23_iv_6_5 "state_23_iv_6[5]") (joined
           (portRef Z (instanceRef state_23_iv_6_5))
           (portRef C (instanceRef state_23_iv_i_5))
          ))
          (net (rename state_23_iv_7_5 "state_23_iv_7[5]") (joined
           (portRef Z (instanceRef state_23_iv_7_5))
           (portRef D (instanceRef state_23_iv_i_5))
          ))
          (net (rename state_23_iv_i_5 "state_23_iv_i[5]") (joined
           (portRef Z (instanceRef state_23_iv_i_5))
           (portRef (member state_23_iv_i 0))
          ))
          (net (rename k_eahi_cnv_1_0 "k_eahi_cnv_1[0]") (joined
           (portRef Z (instanceRef un1_state_81_1_RNIFGDF1))
           (portRef A (instanceRef state81_RNIRM564))
          ))
          (net un1_state_34 (joined
           (portRef Z (instanceRef un1_state_34))
           (portRef D (instanceRef state84_1_RNIGJEL7))
           (portRef D (instanceRef state81_RNIRM564))
           (portRef un1_state_34)
          ))
          (net state81_RNIRM564 (joined
           (portRef Z (instanceRef state81_RNIRM564))
           (portRef state81_RNIRM564)
          ))
          (net un1_state_110_0 (joined
           (portRef Z (instanceRef un1_state_110_0))
           (portRef A (instanceRef un1_state_110))
          ))
          (net un1_next_state_1_sqmuxa (joined
           (portRef un1_next_state_1_sqmuxa)
           (portRef B (instanceRef un1_state_110))
          ))
          (net un1_state_1_sqmuxa (joined
           (portRef un1_state_1_sqmuxa)
           (portRef C (instanceRef un1_state_110))
          ))
          (net un1_state_110 (joined
           (portRef Z (instanceRef un1_state_110))
           (portRef un1_state_110)
          ))
          (net N_720 (joined
           (portRef N_720)
           (portRef A (instanceRef un1_k_opcode_3_RNI5NUH1))
           (portRef A (instanceRef state78_RNILVOM7))
           (portRef D (instanceRef state_23_iv_i_RNO_5))
          ))
          (net (rename next_state_cnv_3_0 "next_state_cnv_3[0]") (joined
           (portRef (member next_state_cnv_3 0))
           (portRef B (instanceRef state78_RNILVOM7))
          ))
          (net un1_state_33 (joined
           (portRef un1_state_33)
           (portRef D (instanceRef k_pp_regs_11_sqmuxa_RNIHU8Q6))
           (portRef D (instanceRef state78_RNILVOM7))
           (portRef C (instanceRef state81_RNIRM564))
          ))
          (net state78_RNILVOM7 (joined
           (portRef Z (instanceRef state78_RNILVOM7))
           (portRef state78_RNILVOM7)
          ))
          (net (rename k_pp_regs_cnv_7_0 "k_pp_regs_cnv_7[0]") (joined
           (portRef (member k_pp_regs_cnv_7 0))
           (portRef B (instanceRef k_pp_regs_11_sqmuxa_RNIHU8Q6))
          ))
          (net state78 (joined
           (portRef Z (instanceRef state78))
           (portRef C (instanceRef un1_k_cpu_addr_3_sqmuxa))
           (portRef C (instanceRef k_pp_regs_11_sqmuxa_RNIHU8Q6))
           (portRef C (instanceRef state78_RNILVOM7))
           (portRef state78)
          ))
          (net k_pp_regs_11_sqmuxa_RNIHU8Q6 (joined
           (portRef Z (instanceRef k_pp_regs_11_sqmuxa_RNIHU8Q6))
           (portRef k_pp_regs_11_sqmuxa_RNIHU8Q6)
          ))
          (net k_pp_regs_11_sqmuxa (joined
           (portRef Z (instanceRef k_pp_regs_11_sqmuxa))
           (portRef A (instanceRef k_pp_regs_11_sqmuxa_RNI51AP))
           (portRef C (instanceRef k_pp_regs59_RNIJ43P2))
           (portRef A (instanceRef k_pp_regs_11_sqmuxa_RNIHU8Q6))
           (portRef k_pp_regs_11_sqmuxa)
          ))
          (net un1_state_84 (joined
           (portRef un1_state_84)
           (portRef D (instanceRef k_pp_regs59_RNIJ43P2))
          ))
          (net k_pp_regs59_RNIJ43P2 (joined
           (portRef Z (instanceRef k_pp_regs59_RNIJ43P2))
           (portRef k_pp_regs59_RNIJ43P2)
          ))
          (net k_dec_su_3 (joined
           (portRef k_dec_su_3)
           (portRef B (instanceRef un1_k_cpu_addr_3_sqmuxa))
          ))
          (net un1_state_73 (joined
           (portRef un1_state_73)
           (portRef D (instanceRef un1_k_cpu_addr_3_sqmuxa))
          ))
          (net un1_k_cpu_addr_3_sqmuxa (joined
           (portRef Z (instanceRef un1_k_cpu_addr_3_sqmuxa))
           (portRef un1_k_cpu_addr_3_sqmuxa)
          ))
          (net (rename state_cnv_3_0 "state_cnv_3[0]") (joined
           (portRef (member state_cnv_3 0))
           (portRef C (instanceRef state84_1_RNIGJEL7))
          ))
          (net state84_1_RNIGJEL7 (joined
           (portRef Z (instanceRef state84_1_RNIGJEL7))
           (portRef state84_1_RNIGJEL7)
          ))
          (net (rename dec_o_p1_mode_0 "dec_o_p1_mode[0]") (joined
           (portRef (member dec_o_p1_mode 2))
           (portRef A (instanceRef state80_0))
           (portRef A (instanceRef state_cnst_0_x3_1))
           (portRef C (instanceRef state_23_iv_7_5))
           (portRef A (instanceRef state82))
           (portRef A (instanceRef state83))
           (portRef A (instanceRef state78_1))
           (portRef B (instanceRef state_cnst_i_a9_5))
           (portRef A (instanceRef k_new_pc_17_sn_m4))
           (portRef A (instanceRef state81))
           (portRef A (instanceRef state79))
           (portRef A (instanceRef state85))
           (portRef A (instanceRef state78))
           (portRef A (instanceRef k_pp_regs59))
           (portRef B (instanceRef state84_1_RNIGJEL7))
          ))
          (net (rename dec_o_p1_mode_1 "dec_o_p1_mode[1]") (joined
           (portRef (member dec_o_p1_mode 1))
           (portRef B (instanceRef state82))
           (portRef B (instanceRef state83))
           (portRef A (instanceRef next_state_10_1_791_o5_1))
           (portRef A (instanceRef state84_1))
           (portRef B (instanceRef state78_1))
           (portRef C (instanceRef state_cnst_i_a9_5))
           (portRef B (instanceRef k_new_pc_17_sn_m4))
           (portRef B (instanceRef state81))
           (portRef B (instanceRef state79))
           (portRef B (instanceRef state85))
           (portRef B (instanceRef state78))
           (portRef B (instanceRef k_pp_regs59))
           (portRef A (instanceRef state_cnst_0_a3_7_1))
          ))
          (net k_pp_regs59 (joined
           (portRef Z (instanceRef k_pp_regs59))
           (portRef B (instanceRef k_pp_regs59_RNIJ43P2))
           (portRef k_pp_regs59)
          ))
          (net (rename k_ealo_cnv_0_0 "k_ealo_cnv_0[0]") (joined
           (portRef (member k_ealo_cnv_0 0))
           (portRef A (instanceRef un1_state_81_1_RNIFGDF1))
           (portRef A (instanceRef k_pp_regs59_RNIJ43P2))
          ))
          (net un1_state_81_0 (joined
           (portRef Z (instanceRef un1_state_81_1))
           (portRef D (instanceRef un1_state_81_1_RNIFGDF1))
          ))
          (net (rename dec_o_p1_mode_2 "dec_o_p1_mode[2]") (joined
           (portRef (member dec_o_p1_mode 0))
           (portRef B (instanceRef state80_0))
           (portRef B (instanceRef state_cnst_0_x3_1))
           (portRef C (instanceRef state82))
           (portRef C (instanceRef state83))
           (portRef B (instanceRef next_state_10_1_791_o5_1))
           (portRef B (instanceRef state84_1))
           (portRef D (instanceRef state_cnst_i_a9_5))
           (portRef C (instanceRef k_new_pc_17_sn_m4))
           (portRef C (instanceRef state81))
           (portRef C (instanceRef state79))
           (portRef C (instanceRef state85))
           (portRef C (instanceRef state78))
           (portRef C (instanceRef k_pp_regs59))
          ))
          (net state85 (joined
           (portRef Z (instanceRef state85))
           (portRef state85)
          ))
          (net state79 (joined
           (portRef Z (instanceRef state79))
           (portRef state79)
          ))
          (net state81 (joined
           (portRef Z (instanceRef state81))
           (portRef B (instanceRef state81_RNIRM564))
           (portRef state81)
          ))
          (net k_new_pc_17_sn_N_6_mux (joined
           (portRef Z (instanceRef k_new_pc_17_sn_m4))
           (portRef k_new_pc_17_sn_N_6_mux)
          ))
          (net k_pp_regs_11_sqmuxa_RNI51AP (joined
           (portRef Z (instanceRef k_pp_regs_11_sqmuxa_RNI51AP))
           (portRef k_pp_regs_11_sqmuxa_RNI51AP)
          ))
          (net k_ofshi_1_sqmuxa (joined
           (portRef Z (instanceRef k_ofshi_1_sqmuxa))
           (portRef A (instanceRef k_ofshi_1_sqmuxa_RNITDUU))
           (portRef B (instanceRef un1_state_81_1_RNIFGDF1))
           (portRef k_ofshi_1_sqmuxa)
          ))
          (net state82 (joined
           (portRef Z (instanceRef state82))
           (portRef B (instanceRef k_ofshi_1_sqmuxa_RNITDUU))
           (portRef B (instanceRef k_pp_regs_11_sqmuxa_RNI51AP))
           (portRef state82)
          ))
          (net cpu_clk (joined
           (portRef cpu_clk)
           (portRef D (instanceRef un1_state_31_2_RNIM5732))
           (portRef C (instanceRef k_ofshi_1_sqmuxa_RNITDUU))
           (portRef C (instanceRef k_pp_regs_11_sqmuxa_RNI51AP))
          ))
          (net k_ofshi_1_sqmuxa_RNITDUU (joined
           (portRef Z (instanceRef k_ofshi_1_sqmuxa_RNITDUU))
           (portRef k_ofshi_1_sqmuxa_RNITDUU)
          ))
          (net N_818_2 (joined
           (portRef Z (instanceRef state78_1))
           (portRef B (instanceRef state_23_iv_i_RNO_5))
           (portRef N_818_2)
          ))
          (net N_1796_1 (joined
           (portRef Z (instanceRef state84_1))
           (portRef A (instanceRef state84_1_RNIGJEL7))
           (portRef N_1796_1)
          ))
          (net N_1792 (joined
           (portRef Z (instanceRef next_state_10_1_791_o5_1))
           (portRef N_1792)
          ))
          (net state83 (joined
           (portRef Z (instanceRef state83))
           (portRef C (instanceRef un1_state_81_1_RNIFGDF1))
           (portRef state83)
          ))
          (net N_733 (joined
           (portRef N_733)
           (portRef A (instanceRef state_23_iv_7_5))
          ))
          (net N_821 (joined
           (portRef Z (instanceRef state_cnst_0_a3_2))
           (portRef B (instanceRef state_23_iv_2_5))
           (portRef B (instanceRef state_23_iv_7_5))
           (portRef N_821)
          ))
          (net N_1184 (joined
           (portRef Z (instanceRef datamux_o_dest_sn_m2))
           (portRef N_1184)
          ))
          (net N_734_i (joined
           (portRef Z (instanceRef state_cnst_0_x3_1))
           (portRef N_734_i)
          ))
          (net N_823 (joined
           (portRef Z (instanceRef state80_0))
           (portRef N_823)
          ))
          (net (rename state_23_iv_4_5 "state_23_iv_4[5]") (joined
           (portRef Z (instanceRef state_23_iv_4_5))
           (portRef A (instanceRef state_23_iv_6_5))
          ))
          (net (rename next_push_state_i_m_1 "next_push_state_i_m[1]") (joined
           (portRef (member next_push_state_i_m 0))
           (portRef B (instanceRef state_23_iv_6_5))
          ))
          (net next_state14 (joined
           (portRef next_state14)
           (portRef C (instanceRef state_23_iv_6_5))
          ))
          (net un1_state_83 (joined
           (portRef un1_state_83)
           (portRef D (instanceRef state_23_iv_6_5))
           (portRef D (instanceRef un1_state_110))
          ))
          (net state119 (joined
           (portRef state119)
           (portRef A (instanceRef state_cnst_0_o2_3_1))
          ))
          (net N_754 (joined
           (portRef Z (instanceRef state_cnst_0_o2_3_1))
           (portRef N_754)
          ))
          (net (rename dec_o_p1_optype_0 "dec_o_p1_optype[0]") (joined
           (portRef (member dec_o_p1_optype 1))
           (portRef A (instanceRef datamux_o_dest14))
           (portRef A (instanceRef datamux_o_dest_sn_m2))
          ))
          (net (rename dec_o_p1_optype_1 "dec_o_p1_optype[1]") (joined
           (portRef (member dec_o_p1_optype 0))
           (portRef B (instanceRef datamux_o_dest14))
           (portRef B (instanceRef datamux_o_dest_sn_m2))
          ))
          (net N_19_m (joined
           (portRef N_19_m)
           (portRef C (instanceRef datamux_o_dest14))
           (portRef C (instanceRef datamux_o_dest_sn_m2))
          ))
          (net mode79 (joined
           (portRef mode79)
           (portRef A (instanceRef dest_reg_am_2))
          ))
          (net path_left_addr12_0 (joined
           (portRef Z (instanceRef path_left_addr12_0))
           (portRef B (instanceRef dest_reg_am_2))
          ))
          (net path_left_addr17 (joined
           (portRef Z (instanceRef path_left_addr17))
           (portRef B (instanceRef dest_reg_am_0))
           (portRef C (instanceRef dest_reg_am_2))
          ))
          (net (rename dest_reg_am_2 "dest_reg_am[2]") (joined
           (portRef Z (instanceRef dest_reg_am_2))
           (portRef BLUT (instanceRef dest_reg_2))
          ))
          (net N_278 (joined
           (portRef Z (instanceRef dest_reg_cnst_0_a4_0_2))
           (portRef A (instanceRef dest_reg_bm_2))
          ))
          (net N_279 (joined
           (portRef Z (instanceRef dest_reg_cnst_0_a4_1_2))
           (portRef B (instanceRef dest_reg_bm_2))
          ))
          (net N_280 (joined
           (portRef Z (instanceRef dest_reg_cnst_0_a4_2_2))
           (portRef C (instanceRef dest_reg_bm_2))
          ))
          (net N_298 (joined
           (portRef Z (instanceRef path_left_addr_cnst_i_2))
           (portRef B (instanceRef path_left_addr_x0_2))
           (portRef D (instanceRef dest_reg_bm_2))
           (portRef A (instanceRef path_left_addr_cnst_i_RNIADK5_2))
           (portRef N_298)
          ))
          (net (rename dest_reg_bm_2 "dest_reg_bm[2]") (joined
           (portRef Z (instanceRef dest_reg_bm_2))
           (portRef ALUT (instanceRef dest_reg_2))
          ))
          (net (rename dec_o_dest_reg_addr_2 "dec_o_dest_reg_addr[2]") (joined
           (portRef Z (instanceRef dest_reg_2))
           (portRef (member dec_o_dest_reg_addr 1))
          ))
          (net mode79_5 (joined
           (portRef mode79_5)
           (portRef A (instanceRef dest_reg_am_0))
           (portRef B (instanceRef dest_reg_3_0))
          ))
          (net (rename k_postbyte0_6 "k_postbyte0[6]") (joined
           (portRef (member k_postbyte0 1))
           (portRef C (instanceRef un1_postbyte0_14_2))
           (portRef C (instanceRef dest_reg_am_0))
           (portRef A (instanceRef dest_reg_3_0))
          ))
          (net (rename dest_reg_am_0 "dest_reg_am[0]") (joined
           (portRef Z (instanceRef dest_reg_am_0))
           (portRef BLUT (instanceRef dest_reg_0))
          ))
          (net (rename dest_reg_cnst_i_1_0 "dest_reg_cnst_i_1[0]") (joined
           (portRef Z (instanceRef dest_reg_cnst_i_1_0))
           (portRef A (instanceRef dest_reg_bm_0))
          ))
          (net (rename dest_reg_cnst_i_2_0 "dest_reg_cnst_i_2[0]") (joined
           (portRef Z (instanceRef dest_reg_cnst_i_2_0))
           (portRef B (instanceRef dest_reg_bm_0))
          ))
          (net (rename dest_reg_cnst_i_3_0 "dest_reg_cnst_i_3[0]") (joined
           (portRef Z (instanceRef dest_reg_cnst_i_3_0))
           (portRef C (instanceRef dest_reg_bm_0))
          ))
          (net (rename dest_reg_bm_0 "dest_reg_bm[0]") (joined
           (portRef Z (instanceRef dest_reg_bm_0))
           (portRef ALUT (instanceRef dest_reg_0))
          ))
          (net N_20 (joined
           (portRef Z (instanceRef un1_dest_reg50_i))
           (portRef A (instanceRef dest_reg_1))
           (portRef A (instanceRef dest_reg_3))
           (portRef C0 (instanceRef dest_reg_0))
           (portRef C0 (instanceRef dest_reg_2))
           (portRef N_20)
          ))
          (net (rename dec_o_dest_reg_addr_0 "dec_o_dest_reg_addr[0]") (joined
           (portRef Z (instanceRef dest_reg_0))
           (portRef (member dec_o_dest_reg_addr 3))
          ))
          (net (rename dest_reg_3_0 "dest_reg_3[0]") (joined
           (portRef Z (instanceRef dest_reg_3_0))
           (portRef C (instanceRef dest_reg_3))
           (portRef (member dest_reg_3 0))
          ))
          (net (rename dec_o_dest_reg_addr_3 "dec_o_dest_reg_addr[3]") (joined
           (portRef Z (instanceRef dest_reg_3))
           (portRef A (instanceRef datamux_o_dest14_RNI9OGJ))
           (portRef (member dec_o_dest_reg_addr 0))
          ))
          (net (rename state_23_iv_2_5 "state_23_iv_2[5]") (joined
           (portRef Z (instanceRef state_23_iv_2_5))
           (portRef A (instanceRef state_23_iv_4_5))
          ))
          (net (rename next_mem_state_i_m_5 "next_mem_state_i_m[5]") (joined
           (portRef (member next_mem_state_i_m 0))
           (portRef B (instanceRef state_23_iv_4_5))
          ))
          (net (rename next_state_5 "next_state[5]") (joined
           (portRef (member next_state 0))
           (portRef C (instanceRef state_23_iv_4_5))
          ))
          (net (rename dec_o_dest_reg_addr_1 "dec_o_dest_reg_addr[1]") (joined
           (portRef Z (instanceRef dest_reg_1))
           (portRef (member dec_o_dest_reg_addr 2))
          ))
          (net un1_state_82_4 (joined
           (portRef un1_state_82_4)
           (portRef C (instanceRef un1_state_81_1))
          ))
          (net N_104 (joined
           (portRef Z (instanceRef un1_dest_reg50_i_a3))
           (portRef B (instanceRef un1_dest_reg50_i))
          ))
          (net un1_dest_reg50_i_0 (joined
           (portRef Z (instanceRef un1_dest_reg50_i_0))
           (portRef C (instanceRef un1_dest_reg50_i))
          ))
          (net (rename k_opcode_7 "k_opcode[7]") (joined
           (portRef (member k_opcode 0))
           (portRef C (instanceRef path_right_addr_4_0_1_0))
           (portRef D (instanceRef dest_reg_cnst_0_a4_0_2))
           (portRef D (instanceRef dest_reg_cnst_i_a4_0))
           (portRef D (instanceRef dest_reg_cnst_i_1_0))
           (portRef D (instanceRef dest_reg_cnst_i_0_0))
           (portRef D (instanceRef un1_dest_reg50_i_0))
           (portRef D (instanceRef dest_reg_cnst_0_a4_1_2))
           (portRef B (instanceRef path_right_addr_4_i_1_1))
           (portRef D (instanceRef un1_dest_reg50_i_a3))
           (portRef D (instanceRef un1_dest_reg50_i))
           (portRef C (instanceRef path_right_addr_4_0_o2_1_RNI18U71_0))
          ))
          (net un1_k_opcode_3 (joined
           (portRef Z (instanceRef un1_k_opcode_3))
           (portRef B (instanceRef un1_k_opcode_3_RNI5NUH1))
           (portRef C (instanceRef state_23_iv_i_RNO_5))
           (portRef un1_k_opcode_3)
          ))
          (net N_1864 (joined
           (portRef Z (instanceRef un1_k_opcode_3_RNI5NUH1))
           (portRef N_1864)
          ))
          (net N_826 (joined
           (portRef Z (instanceRef state_cnst_i_a3_2_0))
           (portRef B (instanceRef state_cnst_0_a9_3))
           (portRef A (instanceRef state_cnst_i_a9_9_0))
           (portRef B (instanceRef state_cnst_i_a3_2_RNIIKFJ1_0))
           (portRef N_826)
          ))
          (net N_767 (joined
           (portRef Z (instanceRef state_cnst_i_a9_9_0))
           (portRef N_767)
          ))
          (net N_262 (joined
           (portRef Z (instanceRef dest_reg_cnst_i_o2_0))
           (portRef A (instanceRef path_left_addr_rn_0))
           (portRef A (instanceRef dest_reg_cnst_i_0_0))
           (portRef A (instanceRef path_left_addr_cnst_i_0_0))
           (portRef A (instanceRef dest_reg_cnst_i_2_0))
           (portRef A (instanceRef path_left_addr_cnst_i_0))
          ))
          (net N_281 (joined
           (portRef Z (instanceRef dest_reg_cnst_i_a4_3))
           (portRef B (instanceRef dest_reg_cnst_i_3))
           (portRef B (instanceRef path_left_addr_cnst_i_0))
          ))
          (net (rename dest_reg_cnst_i_a4_3_1_0 "dest_reg_cnst_i_a4_3_1[0]") (joined
           (portRef Z (instanceRef dest_reg_cnst_i_a4_3_1_0))
           (portRef B (instanceRef path_left_addr_rn_0))
           (portRef C (instanceRef dest_reg_cnst_i_2_0))
           (portRef C (instanceRef path_left_addr_cnst_i_0))
          ))
          (net (rename path_left_addr_cnst_i_0_0 "path_left_addr_cnst_i_0[0]") (joined
           (portRef Z (instanceRef path_left_addr_cnst_i_0_0))
           (portRef D (instanceRef path_left_addr_cnst_i_0))
          ))
          (net N_295 (joined
           (portRef Z (instanceRef path_left_addr_cnst_i_0))
           (portRef N_295)
          ))
          (net N_804 (joined
           (portRef Z (instanceRef state_cnst_0_a3_0_RNI3D1Q_1))
           (portRef A (instanceRef state_23_iv_2_5))
           (portRef N_804)
          ))
          (net (rename state_23_iv_0_5 "state_23_iv_0[5]") (joined
           (portRef Z (instanceRef state_23_iv_0_5))
           (portRef C (instanceRef state_23_iv_2_5))
          ))
          (net N_64 (joined
           (portRef Z (instanceRef un1_dest_reg50_i_o2))
           (portRef A (instanceRef un1_dest_reg50_i_a3))
           (portRef A (instanceRef un1_dest_reg50_i))
           (portRef N_64)
          ))
          (net (rename un1_opcode_38_3_0 "un1_opcode_38_3[0]") (joined
           (portRef Z (instanceRef un1_opcode_20_3_0_a2_0))
           (portRef C (instanceRef un1_dest_reg50_i_a3))
          ))
          (net (rename path_right_addr_4_0_1_0_tz_0_0 "path_right_addr_4_0_1_0_tz_0[0]") (joined
           (portRef Z (instanceRef path_right_addr_4_0_1_0_tz_0_0))
           (portRef A (instanceRef path_right_addr_4_0_1_0_tz_0))
          ))
          (net (rename path_right_addr_4_0_1_0_tz_1_0 "path_right_addr_4_0_1_0_tz_1[0]") (joined
           (portRef Z (instanceRef path_right_addr_4_0_1_0_tz_1_0))
           (portRef B (instanceRef path_right_addr_4_0_1_0_tz_0))
          ))
          (net un1_k_p2_valid9 (joined
           (portRef un1_k_p2_valid9)
           (portRef A (instanceRef state_cnst_0_o2_0_1))
           (portRef B (instanceRef state_cnst_i_a9_9_0))
          ))
          (net N_736 (joined
           (portRef Z (instanceRef state_cnst_0_o2_0_1))
           (portRef N_736)
          ))
          (net N_721 (joined
           (portRef Z (instanceRef state_cnst_0_o2_2))
           (portRef A (instanceRef state_cnst_0_a9_3))
           (portRef A (instanceRef un1_state_72))
           (portRef A (instanceRef un1_state_81_1))
           (portRef N_721)
          ))
          (net N_1262_1 (joined
           (portRef N_1262_1)
           (portRef B (instanceRef k_pp_regs_11_sqmuxa))
           (portRef B (instanceRef un1_state_72))
           (portRef D (instanceRef state_cnst_0_a3_7_1))
          ))
          (net un1_state_53 (joined
           (portRef Z (instanceRef k_clear_e_3_2_RNI3H921))
           (portRef C (instanceRef un1_state_72))
           (portRef un1_state_53)
          ))
          (net un1_state_61_3 (joined
           (portRef un1_state_61_3)
           (portRef D (instanceRef un1_state_72))
          ))
          (net un1_state_72 (joined
           (portRef Z (instanceRef un1_state_72))
           (portRef A (instanceRef un1_state_110_0))
           (portRef D (instanceRef state_23_iv_4_5))
           (portRef un1_state_72)
          ))
          (net N_2 (joined
           (portRef N_2)
           (portRef A (instanceRef dest_reg_cnst_0_a4_2_2))
           (portRef A (instanceRef dest_reg_cnst_i_3_0))
           (portRef A (instanceRef dest_reg_cnst_i_3))
           (portRef C (instanceRef dest_reg_1))
          ))
          (net (rename k_opcode_6 "k_opcode[6]") (joined
           (portRef (member k_opcode 1))
           (portRef C (instanceRef dest_reg_cnst_i_a4_0))
           (portRef C (instanceRef dest_reg_cnst_i_0_0))
           (portRef C (instanceRef un1_dest_reg50_i_0))
           (portRef B (instanceRef path_left_addr_cnst_0_a3_1))
           (portRef D (instanceRef dest_reg_cnst_i_3))
           (portRef D (instanceRef dest_reg_1))
          ))
          (net N_260 (joined
           (portRef Z (instanceRef dest_reg_cnst_i_3))
           (portRef B (instanceRef dest_reg_3))
           (portRef N_260)
          ))
          (net cpu_reset_i_4 (joined
           (portRef cpu_reset_i_4)
           (portRef A (instanceRef k_pp_regs_11_sqmuxa))
           (portRef A (instanceRef k_cpu_addr_4_sqmuxa))
           (portRef A (instanceRef un1_state_31_2_RNIM5732))
           (portRef B (instanceRef k_ofshi_1_sqmuxa))
           (portRef A (instanceRef un1_k_cpu_addr_3_sqmuxa))
          ))
          (net k_ofshi_1_sqmuxa_0 (joined
           (portRef Z (instanceRef k_ofshi_1_sqmuxa_0))
           (portRef C (instanceRef k_ofshi_1_sqmuxa))
          ))
          (net un1_state_57_2 (joined
           (portRef Z (instanceRef un1_state_15_3))
           (portRef D (instanceRef k_pp_regs_11_sqmuxa))
           (portRef B (instanceRef k_cpu_addr_4_sqmuxa))
           (portRef D (instanceRef k_ofshi_1_sqmuxa))
           (portRef B (instanceRef k_clear_e_3_2_RNI3H921))
           (portRef un1_state_57_2)
          ))
          (net (rename path_right_addr_4_i_0_1 "path_right_addr_4_i_0[1]") (joined
           (portRef Z (instanceRef path_right_addr_4_i_0_1))
           (portRef A (instanceRef path_right_addr_4_i_1_1))
          ))
          (net k_opcode_3_rep2 (joined
           (portRef k_opcode_3_rep2)
           (portRef B (instanceRef path_right_addr_2_f0_0_a3_1_0_3))
           (portRef C (instanceRef path_right_addr_2_f0_0_a2_0_3))
           (portRef C (instanceRef path_right_addr_4_i_a3_1))
           (portRef D (instanceRef path_right_addr_4_0_o2_1_0))
           (portRef B (instanceRef dest_reg_cnst_i_a2_0_3))
           (portRef B (instanceRef path_right_addr_2_f0_0_o2_0_3))
           (portRef C (instanceRef path_right_addr_4_i_0_1))
           (portRef D (instanceRef path_right_addr_4_i_1_1))
           (portRef C (instanceRef path_right_addr_2_f0_0_RNO_3))
          ))
          (net (rename path_right_addr_4_i_1_1 "path_right_addr_4_i_1[1]") (joined
           (portRef Z (instanceRef path_right_addr_4_i_1_1))
           (portRef (member path_right_addr_4_i_1 0))
          ))
          (net un1_postbyte0_3 (joined
           (portRef Z (instanceRef un1_postbyte0_3))
           (portRef B (instanceRef path_left_addr12_0))
          ))
          (net un1_postbyte0_14_2 (joined
           (portRef Z (instanceRef un1_postbyte0_14_2))
           (portRef C (instanceRef path_left_addr12_0))
          ))
          (net (rename k_postbyte0_3 "k_postbyte0[3]") (joined
           (portRef (member k_postbyte0 4))
           (portRef A (instanceRef un1_postbyte0_3_0))
           (portRef D (instanceRef path_left_addr12_0))
           (portRef D (instanceRef path_right_addr_4_0_1_0_tz_0))
          ))
          (net (rename dest_reg_cnst_i_0_0 "dest_reg_cnst_i_0[0]") (joined
           (portRef Z (instanceRef dest_reg_cnst_i_0_0))
           (portRef B (instanceRef dest_reg_cnst_i_2_0))
          ))
          (net N_72 (joined
           (portRef Z (instanceRef path_right_addr_4_i_o2_1))
           (portRef A (instanceRef dest_reg_cnst_i_a4_3))
           (portRef A (instanceRef dest_reg_cnst_i_1_0))
           (portRef A (instanceRef dest_reg_cnst_0_a4_1_2))
           (portRef B (instanceRef dest_reg_1))
           (portRef N_72)
          ))
          (net (rename k_opcode_1 "k_opcode[1]") (joined
           (portRef (member k_opcode 6))
           (portRef B (instanceRef un1_dest_reg50_i_o2))
           (portRef C (instanceRef dest_reg_cnst_i_1_0))
           (portRef C (instanceRef dest_reg_cnst_0_a4_2_2))
           (portRef B (instanceRef dest_reg_cnst_0_a4_1_2))
           (portRef C (instanceRef dest_reg_cnst_i_3_0))
          ))
          (net N_794 (joined
           (portRef Z (instanceRef state_cnst_0_a9_3))
           (portRef N_794)
          ))
          (net N_69 (joined
           (portRef Z (instanceRef path_right_addr_2_f0_0_o3_5_3))
           (portRef A (instanceRef un1_k_opcode_3))
           (portRef B (instanceRef un1_dest_reg50_i_a3))
           (portRef N_69)
          ))
          (net un1_k_opcode_3_0 (joined
           (portRef Z (instanceRef un1_k_opcode_3_0))
           (portRef B (instanceRef un1_k_opcode_3))
          ))
          (net (rename k_opcode_2 "k_opcode[2]") (joined
           (portRef (member k_opcode 5))
           (portRef A (instanceRef un1_opcode_20_3_0_a2_0))
           (portRef A (instanceRef dest_reg_cnst_0_a4_0_2))
           (portRef A (instanceRef dest_reg_cnst_i_a4_0))
           (portRef B (instanceRef un1_dest_reg50_i_0))
           (portRef D (instanceRef state68))
           (portRef C (instanceRef un1_k_opcode_3))
           (portRef C (instanceRef dest_reg_cnst_0_a4_1_2))
          ))
          (net state68_4 (joined
           (portRef state68_4)
           (portRef D (instanceRef un1_k_opcode_3))
          ))
          (net (rename k_opcode_0 "k_opcode[0]") (joined
           (portRef (member k_opcode 7))
           (portRef A (instanceRef un1_k_opcode_3_0))
           (portRef A (instanceRef un1_dest_reg50_i_o2))
           (portRef C (instanceRef state68))
           (portRef B (instanceRef dest_reg_cnst_0_a4_2_2))
           (portRef B (instanceRef dest_reg_cnst_i_3_0))
          ))
          (net (rename k_opcode_3 "k_opcode[3]") (joined
           (portRef (member k_opcode 4))
           (portRef B (instanceRef un1_k_opcode_3_0))
           (portRef B (instanceRef un1_opcode_20_3_0_a2_0))
           (portRef B (instanceRef dest_reg_cnst_0_a4_0_2))
           (portRef C (instanceRef un1_dest_reg50_i_o2))
           (portRef D (instanceRef dest_reg_cnst_0_a4_2_2))
           (portRef D (instanceRef dest_reg_cnst_i_3_0))
          ))
          (net N_50 (joined
           (portRef N_50)
           (portRef A (instanceRef un1_postbyte0_3))
           (portRef A (instanceRef path_left_addr12_0))
          ))
          (net N_75 (joined
           (portRef N_75)
           (portRef B (instanceRef un1_postbyte0_3))
          ))
          (net un1_postbyte0_3_0 (joined
           (portRef Z (instanceRef un1_postbyte0_3_0))
           (portRef C (instanceRef un1_postbyte0_3))
          ))
          (net N_289 (joined
           (portRef Z (instanceRef dest_reg_cnst_i_a2_0_3))
           (portRef A (instanceRef path_left_addr_cnst_0_a3_1))
           (portRef C (instanceRef dest_reg_cnst_i_3))
           (portRef N_289)
          ))
          (net k_opcode_0_rep1 (joined
           (portRef k_opcode_0_rep1)
           (portRef A (instanceRef state68_1_0_0_a2))
           (portRef A (instanceRef path_right_addr_2_f0_0_a2_0_3))
           (portRef A (instanceRef path_right_addr_4_i_a3_1))
           (portRef A (instanceRef path_right_addr_4_0_o2_1_0))
           (portRef C (instanceRef path_left_addr_cnst_0_a3_1))
           (portRef C (instanceRef path_right_addr_4_i_1_1))
          ))
          (net (rename path_left_addr_cnst_1 "path_left_addr_cnst[1]") (joined
           (portRef Z (instanceRef path_left_addr_cnst_0_a3_1))
           (portRef (member path_left_addr_cnst 0))
          ))
          (net N_116_i_0 (joined
           (portRef N_116_i_0)
           (portRef B (instanceRef state68))
          ))
          (net state68 (joined
           (portRef Z (instanceRef state68))
           (portRef state68)
          ))
          (net N_304 (joined
           (portRef Z (instanceRef path_left_addr_cnst_i_o2_0_0))
           (portRef B (instanceRef path_left_addr_cnst_i_0_0))
          ))
          (net k_opcode_7_rep1 (joined
           (portRef k_opcode_7_rep1)
           (portRef D (instanceRef dest_reg_cnst_i_a4_3))
           (portRef C (instanceRef path_left_addr_rn_sx_0_0))
           (portRef D (instanceRef path_left_addr_rn_sx_0))
           (portRef C (instanceRef path_right_addr_4_0_a3_1_0_0))
           (portRef C (instanceRef dest_reg_cnst_i_a4_3_1_0))
           (portRef D (instanceRef path_right_addr_4_i_a3_1))
           (portRef D (instanceRef path_right_addr_2_f0_0_o3_0_3))
           (portRef C (instanceRef path_left_addr_cnst_i_2))
           (portRef C (instanceRef dest_reg_cnst_i_a2_0_3))
           (portRef C (instanceRef path_right_addr_2_f0_0_o2_0_3))
           (portRef D (instanceRef path_right_addr_4_i_0_1))
           (portRef D (instanceRef path_left_addr_cnst_i_0_0))
           (portRef A (instanceRef path_right_addr_2_f0_0_RNO_3))
          ))
          (net N_95 (joined
           (portRef Z (instanceRef path_right_addr_4_i_a3_1))
           (portRef A (instanceRef path_right_addr_4_i_0_1))
          ))
          (net k_opcode_1_rep1 (joined
           (portRef k_opcode_1_rep1)
           (portRef B (instanceRef dest_reg_cnst_i_a4_3))
           (portRef B (instanceRef path_left_addr_rn_sx_0_0))
           (portRef B (instanceRef state68_1_0_0_a2))
           (portRef B (instanceRef path_right_addr_2_f0_0_a2_0_3))
           (portRef A (instanceRef dest_reg_cnst_i_a4_3_1_0))
           (portRef B (instanceRef path_right_addr_4_0_o2_1_0))
           (portRef B (instanceRef path_right_addr_4_i_0_1))
           (portRef D (instanceRef path_left_addr_cnst_0_a3_1))
          ))
          (net N_102 (joined
           (portRef Z (instanceRef un1_dest_reg_2_sqmuxa_1_1_a3_1))
           (portRef B (instanceRef un1_dest_reg_2_sqmuxa_1_1_0_2))
           (portRef A (instanceRef un1_dest_reg50_i_0))
          ))
          (net N_270 (joined
           (portRef Z (instanceRef dest_reg_cnst_i_a4_0))
           (portRef B (instanceRef dest_reg_cnst_i_1_0))
          ))
          (net k_opcode_2_rep2 (joined
           (portRef k_opcode_2_rep2)
           (portRef C (instanceRef dest_reg_cnst_i_a4_3))
           (portRef A (instanceRef dest_reg_cnst_i_a4_sx_3))
           (portRef A (instanceRef path_right_addr_2_f0_0_a3_1_0_3))
           (portRef B (instanceRef path_right_addr_4_i_a3_1))
           (portRef C (instanceRef path_right_addr_4_0_o2_1_0))
           (portRef A (instanceRef dest_reg_cnst_i_a2_0_3))
           (portRef A (instanceRef path_right_addr_2_f0_0_o2_0_3))
           (portRef D (instanceRef path_right_addr_2_f0_0_RNO_3))
          ))
          (net state68_1 (joined
           (portRef Z (instanceRef state68_1_0_0_a2))
           (portRef D (instanceRef path_right_addr_2_f0_0_o2_0_3))
          ))
          (net N_1239_1 (joined
           (portRef N_1239_1)
           (portRef B (instanceRef state_23_iv_0_5))
          ))
          (net k_opcode_6_rep1 (joined
           (portRef k_opcode_6_rep1)
           (portRef C (instanceRef path_left_addr_rn_sx_sx_0))
           (portRef B (instanceRef dest_reg_cnst_i_a4_3_1_0))
           (portRef C (instanceRef path_right_addr_2_f0_0_o3_0_3))
           (portRef B (instanceRef path_left_addr_cnst_i_2))
           (portRef B (instanceRef un1_dest_reg_2_sqmuxa_1_1_0_0))
           (portRef C (instanceRef path_left_addr_cnst_i_0_0))
          ))
          (net un1_dest_reg_2_sqmuxa_1_1_0_0 (joined
           (portRef Z (instanceRef un1_dest_reg_2_sqmuxa_1_1_0_0))
           (portRef C (instanceRef un1_dest_reg_2_sqmuxa_1_1_0_2))
          ))
          (net (rename k_opcode_fast_7 "k_opcode_fast[7]") (joined
           (portRef (member k_opcode_fast 0))
           (portRef D (instanceRef path_right_addr_4_0_a3_3_sx_0_0))
           (portRef C (instanceRef path_right_addr_4_0_a3_3_x_0))
           (portRef C (instanceRef un1_dest_reg_2_sqmuxa_1_1_0_2_x0))
           (portRef C (instanceRef un1_dest_reg_2_sqmuxa_1_1_a3_1))
           (portRef D (instanceRef un1_dest_reg_2_sqmuxa_1_1_0_0))
          ))
          (net N_83 (joined
           (portRef Z (instanceRef un1_dest_reg_2_sqmuxa_1_1_0_o2))
           (portRef A (instanceRef un1_dest_reg_2_sqmuxa_1_1_0_2_x0))
          ))
          (net (rename k_opcode_fast_0 "k_opcode_fast[0]") (joined
           (portRef (member k_opcode_fast 7))
           (portRef B (instanceRef dest_reg_cnst_i_a4_sx_3))
           (portRef A (instanceRef path_right_addr_4_0_a3_3_sx_0_0))
           (portRef B (instanceRef path_right_addr_4_0_a3_3_x_0))
           (portRef A (instanceRef path_right_addr_4_i_o2_1))
           (portRef A (instanceRef path_right_addr_4_0_a2_0))
           (portRef C (instanceRef un1_dest_reg_2_sqmuxa_1_1_0_o2))
          ))
          (net (rename k_opcode_fast_4 "k_opcode_fast[4]") (joined
           (portRef (member k_opcode_fast 3))
           (portRef C (instanceRef path_right_addr_4_0_a3_3_0))
           (portRef B (instanceRef path_right_addr_4_0_a3_3_sx_0))
           (portRef C (instanceRef path_right_addr_4_0_a2_0))
           (portRef A (instanceRef un1_dest_reg_2_sqmuxa_1_1_a3_1))
          ))
          (net (rename k_opcode_fast_5 "k_opcode_fast[5]") (joined
           (portRef (member k_opcode_fast 2))
           (portRef B (instanceRef path_right_addr_4_0_a3_3_sx_x_0))
           (portRef C (instanceRef path_right_addr_4_0_a3_3_sx_0))
           (portRef D (instanceRef path_right_addr_4_0_a2_0))
           (portRef B (instanceRef un1_dest_reg_2_sqmuxa_1_1_a3_1))
          ))
          (net N_144 (joined
           (portRef Z (instanceRef path_right_addr_4_0_a2_0))
           (portRef N_144)
          ))
          (net k_opcode_4_rep1 (joined
           (portRef k_opcode_4_rep1)
           (portRef B (instanceRef path_left_addr_rn_sx_0))
           (portRef A (instanceRef path_left_addr_cnst_i_o2_0_0))
           (portRef A (instanceRef path_right_addr_4_0_a3_1_0_0))
           (portRef A (instanceRef path_right_addr_2_f0_0_o3_0_3))
           (portRef A (instanceRef un1_dest_reg_2_sqmuxa_1_1_0_0))
          ))
          (net k_opcode_5_rep1 (joined
           (portRef k_opcode_5_rep1)
           (portRef C (instanceRef path_left_addr_rn_sx_0))
           (portRef B (instanceRef path_left_addr_cnst_i_o2_0_0))
           (portRef B (instanceRef path_right_addr_4_0_a3_1_0_0))
           (portRef B (instanceRef path_right_addr_2_f0_0_o3_0_3))
           (portRef A (instanceRef path_left_addr_cnst_i_2))
          ))
          (net N_106 (joined
           (portRef Z (instanceRef path_right_addr_2_f0_0_o3_0_3))
           (portRef A (instanceRef state68))
           (portRef N_106)
          ))
          (net (rename k_opcode_5 "k_opcode[5]") (joined
           (portRef (member k_opcode 2))
           (portRef B (instanceRef path_right_addr_2_f0_0_o3_5_3))
           (portRef C (instanceRef dest_reg_cnst_0_a4_0_2))
           (portRef B (instanceRef dest_reg_cnst_i_a4_0))
          ))
          (net N_795 (joined
           (portRef Z (instanceRef state_cnst_0_a9_0_3))
           (portRef N_795)
          ))
          (net (rename k_postbyte0_0 "k_postbyte0[0]") (joined
           (portRef (member k_postbyte0 7))
           (portRef B (instanceRef path_right_addr_4_0_1_0_tz_1_0))
           (portRef A (instanceRef un1_postbyte0_14_2))
           (portRef D (instanceRef un1_postbyte0_3))
          ))
          (net (rename k_postbyte0_7 "k_postbyte0[7]") (joined
           (portRef (member k_postbyte0 0))
           (portRef D (instanceRef un1_postbyte0_14_2))
          ))
          (net k_p3_valid (joined
           (portRef k_p3_valid)
           (portRef B (instanceRef path_right_addr_4_0_1_0_tz_0_0))
          ))
          (net (rename k_postbyte0_4 "k_postbyte0[4]") (joined
           (portRef (member k_postbyte0 3))
           (portRef C (instanceRef path_right_addr_4_0_1_0_tz_0_0))
          ))
          (net (rename k_postbyte0_5 "k_postbyte0[5]") (joined
           (portRef (member k_postbyte0 2))
           (portRef B (instanceRef un1_postbyte0_3_0))
           (portRef D (instanceRef path_right_addr_4_0_1_0_tz_0_0))
           (portRef B (instanceRef un1_postbyte0_14_2))
          ))
          (net un1_state_20_1 (joined
           (portRef Z (instanceRef un1_state_20_1))
           (portRef un1_state_20_1)
          ))
          (net N_725 (joined
           (portRef Z (instanceRef state_cnst_i_o2_0_4))
           (portRef A (instanceRef k_ofshi_1_sqmuxa))
           (portRef N_725)
          ))
          (net k_opcode_2_rep1 (joined
           (portRef k_opcode_2_rep1)
           (portRef A (instanceRef path_left_addr_rn_sx_sx_0))
           (portRef A (instanceRef dest_reg_cnst_i_o2_0))
           (portRef A (instanceRef un1_dest_reg_2_sqmuxa_1_1_0_o2))
          ))
          (net k_opcode_3_rep1 (joined
           (portRef k_opcode_3_rep1)
           (portRef B (instanceRef path_left_addr_rn_sx_sx_0))
           (portRef B (instanceRef dest_reg_cnst_i_o2_0))
           (portRef B (instanceRef un1_dest_reg_2_sqmuxa_1_1_0_o2))
          ))
          (net N_61 (joined
           (portRef Z (instanceRef path_right_addr_4_0_o2_4_0))
           (portRef N_61)
          ))
          (net (rename k_opcode_4 "k_opcode[4]") (joined
           (portRef (member k_opcode 3))
           (portRef A (instanceRef path_right_addr_2_f0_0_o3_5_3))
           (portRef B (instanceRef dest_reg_cnst_i_0_0))
          ))
          (net un1_state_49_1 (joined
           (portRef Z (instanceRef un1_state_49_1))
           (portRef C (instanceRef state_cnst_0_a9_3))
           (portRef un1_state_49_1)
          ))
          (net k_clear_e_3_2 (joined
           (portRef Z (instanceRef k_clear_e_3_2))
           (portRef C (instanceRef k_pp_regs_11_sqmuxa))
           (portRef A (instanceRef k_clear_e_3_2_RNI3H921))
           (portRef k_clear_e_3_2)
          ))
          (net un1_state_40_2 (joined
           (portRef Z (instanceRef un1_state_34_1))
           (portRef un1_state_40_2)
          ))
          (net N_1260_1 (joined
           (portRef Z (instanceRef un1_state_18_2))
           (portRef N_1260_1)
          ))
          (net N_808_2 (joined
           (portRef Z (instanceRef state_cnst_0_a9_7_0_1))
           (portRef N_808_2)
          ))
          (net (rename path_right_addr_2_f0_0_a3_1_0_3 "path_right_addr_2_f0_0_a3_1_0[3]") (joined
           (portRef Z (instanceRef path_right_addr_2_f0_0_a3_1_0_3))
           (portRef (member path_right_addr_2_f0_0_a3_1_0 0))
          ))
          (net (rename k_pp_regs_cnv_4_1_0 "k_pp_regs_cnv_4_1[0]") (joined
           (portRef (member k_pp_regs_cnv_4_1 0))
           (portRef B (instanceRef un1_state_31_2_RNIM5732))
          ))
          (net un1_state_31_2 (joined
           (portRef Z (instanceRef un1_state_31_2))
           (portRef C (instanceRef un1_state_31_2_RNIM5732))
           (portRef un1_state_31_2)
          ))
          (net (rename k_pp_regs_cnv_4_0 "k_pp_regs_cnv_4[0]") (joined
           (portRef Z (instanceRef un1_state_31_2_RNIM5732))
           (portRef (member k_pp_regs_cnv_4 0))
          ))
          (net (rename path_left_addr_rn_1_0 "path_left_addr_rn_1[0]") (joined
           (portRef Z (instanceRef path_left_addr_rn_0))
           (portRef C (instanceRef path_left_addr_mb_0))
          ))
          (net (rename dec_o_left_path_addr_0 "dec_o_left_path_addr[0]") (joined
           (portRef Z (instanceRef path_left_addr_mb_0))
           (portRef dec_o_left_path_addr_0)
          ))
          (net (rename path_right_addr_4_0_1_0 "path_right_addr_4_0_1[0]") (joined
           (portRef Z (instanceRef path_right_addr_4_0_1_0))
           (portRef B (instanceRef path_right_addr_4_0_0))
          ))
          (net N_98 (joined
           (portRef Z (instanceRef path_right_addr_4_0_a3_3_0))
           (portRef A (instanceRef path_left_addr_x0_2))
           (portRef A (instanceRef path_right_addr_2_f0_0_3))
           (portRef A (instanceRef path_right_addr_4_0_0))
           (portRef A (instanceRef path_left_addr_mb_0))
           (portRef N_98)
          ))
          (net (rename dec_o_right_path_addr_0 "dec_o_right_path_addr[0]") (joined
           (portRef Z (instanceRef path_right_addr_4_0_0))
           (portRef dec_o_right_path_addr_0)
          ))
          (net (rename path_left_addr_3_0 "path_left_addr_3[0]") (joined
           (portRef Z (instanceRef path_left_addr_3_0))
           (portRef B (instanceRef path_right_addr_2_f0_0_3))
           (portRef B (instanceRef path_left_addr_mb_0))
           (portRef (member path_left_addr_3 1))
          ))
          (net (rename path_right_addr_2_f0_0_o2_0_3 "path_right_addr_2_f0_0_o2_0[3]") (joined
           (portRef Z (instanceRef path_right_addr_2_f0_0_o2_0_3))
           (portRef D (instanceRef path_right_addr_2_f0_0_3))
           (portRef (member path_right_addr_2_f0_0_o2_0 0))
          ))
          (net (rename dec_o_right_path_addr_3 "dec_o_right_path_addr[3]") (joined
           (portRef Z (instanceRef path_right_addr_2_f0_0_3))
           (portRef dec_o_right_path_addr_3)
          ))
          (net (rename k_opcode_fast_2 "k_opcode_fast[2]") (joined
           (portRef (member k_opcode_fast 5))
           (portRef A (instanceRef path_right_addr_4_0_a3_3_sx_x_0))
           (portRef A (instanceRef path_right_addr_4_0_a3_3_sx_0))
           (portRef B (instanceRef path_right_addr_4_0_a2_0))
          ))
          (net (rename path_right_addr_4_0_a3_3_sx_0 "path_right_addr_4_0_a3_3_sx[0]") (joined
           (portRef Z (instanceRef path_right_addr_4_0_a3_3_sx_0))
           (portRef A (instanceRef path_right_addr_4_0_a3_3_x_0))
          ))
          (net (rename k_opcode_fast_6 "k_opcode_fast[6]") (joined
           (portRef (member k_opcode_fast 1))
           (portRef C (instanceRef path_right_addr_4_0_a3_3_sx_x_0))
           (portRef B (instanceRef un1_dest_reg_2_sqmuxa_1_1_0_2_x0))
           (portRef D (instanceRef path_right_addr_4_0_a3_3_sx_0))
          ))
          (net un1_dest_reg_2_sqmuxa_1_1_0_2_x0 (joined
           (portRef Z (instanceRef un1_dest_reg_2_sqmuxa_1_1_0_2_x0))
           (portRef A (instanceRef un1_dest_reg_2_sqmuxa_1_1_0_2))
          ))
          (net (rename path_right_addr_4_0_1_0_tz_1_sx_0 "path_right_addr_4_0_1_0_tz_1_sx[0]") (joined
           (portRef Z (instanceRef path_right_addr_4_0_1_0_tz_1_sx_0))
           (portRef A (instanceRef path_right_addr_4_0_1_0_tz_1_0))
          ))
          (net (rename k_postbyte0_2 "k_postbyte0[2]") (joined
           (portRef (member k_postbyte0 5))
           (portRef D (instanceRef path_right_addr_4_0_1_0_tz_1_0))
           (portRef C (instanceRef path_right_addr_4_0_1_0_tz_0))
          ))
          (net (rename path_right_addr_4_0_a3_3_sx_0_0 "path_right_addr_4_0_a3_3_sx_0[0]") (joined
           (portRef Z (instanceRef path_right_addr_4_0_a3_3_sx_0_0))
           (portRef B (instanceRef path_right_addr_4_0_a3_3_0))
          ))
          (net (rename k_postbyte0_fast_6 "k_postbyte0_fast[6]") (joined
           (portRef k_postbyte0_fast_6)
           (portRef C (instanceRef path_left_addr_3_sx_0))
           (portRef A (instanceRef path_right_addr_4_0_1_0_tz_1_sx_0))
          ))
          (net (rename k_postbyte0_fast_7 "k_postbyte0_fast[7]") (joined
           (portRef k_postbyte0_fast_7)
           (portRef D (instanceRef path_left_addr_3_sx_0))
           (portRef B (instanceRef path_right_addr_4_0_1_0_tz_1_sx_0))
          ))
          (net (rename path_left_addr_3_sx_0 "path_left_addr_3_sx[0]") (joined
           (portRef Z (instanceRef path_left_addr_3_sx_0))
           (portRef C (instanceRef path_left_addr_x0_2))
           (portRef A (instanceRef path_left_addr_3_0))
          ))
          (net (rename path_left_addr_rn_sx_sx_0 "path_left_addr_rn_sx_sx[0]") (joined
           (portRef Z (instanceRef path_left_addr_rn_sx_sx_0))
           (portRef A (instanceRef path_left_addr_rn_sx_0))
          ))
          (net (rename k_postbyte0_1 "k_postbyte0[1]") (joined
           (portRef (member k_postbyte0 6))
           (portRef A (instanceRef path_left_addr_3_sx_0_0))
           (portRef C (instanceRef path_right_addr_4_0_1_0_tz_1_0))
          ))
          (net (rename k_postbyte0_fast_0 "k_postbyte0_fast[0]") (joined
           (portRef k_postbyte0_fast_0)
           (portRef B (instanceRef path_left_addr_3_sx_0_0))
          ))
          (net (rename k_postbyte0_fast_2 "k_postbyte0_fast[2]") (joined
           (portRef k_postbyte0_fast_2)
           (portRef C (instanceRef path_left_addr_3_sx_0_0))
          ))
          (net (rename k_postbyte0_fast_3 "k_postbyte0_fast[3]") (joined
           (portRef k_postbyte0_fast_3)
           (portRef D (instanceRef path_left_addr_3_sx_0_0))
          ))
          (net (rename path_left_addr_3_sx_0_0 "path_left_addr_3_sx_0[0]") (joined
           (portRef Z (instanceRef path_left_addr_3_sx_0_0))
           (portRef D (instanceRef path_left_addr_x0_2))
           (portRef B (instanceRef path_left_addr_3_0))
          ))
          (net (rename path_right_addr_4_0_a3_3_sx_x_0 "path_right_addr_4_0_a3_3_sx_x[0]") (joined
           (portRef Z (instanceRef path_right_addr_4_0_a3_3_sx_x_0))
           (portRef A (instanceRef path_right_addr_4_0_a3_3_0))
          ))
          (net (rename path_left_addr_rn_sx_0 "path_left_addr_rn_sx[0]") (joined
           (portRef Z (instanceRef path_left_addr_rn_sx_0))
           (portRef C (instanceRef path_left_addr_rn_0))
          ))
          (net (rename dest_reg_cnst_i_a4_sx_3 "dest_reg_cnst_i_a4_sx[3]") (joined
           (portRef Z (instanceRef dest_reg_cnst_i_a4_sx_3))
           (portRef A (instanceRef path_left_addr_rn_sx_0_0))
          ))
          (net (rename path_left_addr_rn_sx_0_0 "path_left_addr_rn_sx_0[0]") (joined
           (portRef Z (instanceRef path_left_addr_rn_sx_0_0))
           (portRef D (instanceRef path_left_addr_rn_0))
          ))
          (net un1_state_57_0 (joined
           (portRef un1_state_57_0)
           (portRef C (instanceRef k_cpu_addr_4_sqmuxa))
          ))
          (net un1_state_24_0 (joined
           (portRef un1_state_24_0)
           (portRef D (instanceRef k_cpu_addr_4_sqmuxa))
          ))
          (net k_cpu_addr_4_sqmuxa (joined
           (portRef Z (instanceRef k_cpu_addr_4_sqmuxa))
           (portRef k_cpu_addr_4_sqmuxa)
          ))
          (net un1_state_59 (joined
           (portRef un1_state_59)
           (portRef B (instanceRef un1_state_110_0))
          ))
          (net un1_state_15 (joined
           (portRef un1_state_15)
           (portRef C (instanceRef un1_state_110_0))
          ))
         )
        (property orig_inst_of (string "decode_regs"))
       )
    )
    (cell regblock (cellType GENERIC)
       (view netlist (viewType NETLIST)
         (interface
           (port (array (rename state_23_0_iv_i "state_23_0_iv_i[4:4]") 1) (direction OUTPUT))
           (port (array (rename path_right_addr_4_i_1 "path_right_addr_4_i_1[1:1]") 1) (direction INPUT))
           (port (array (rename path_left_addr_cnst "path_left_addr_cnst[1:1]") 1) (direction INPUT))
           (port (array (rename path_left_addr_3 "path_left_addr_3[1:1]") 1) (direction INPUT))
           (port (array (rename path_right_addr_4_0_a3_3_x_rnis4h11 "path_right_addr_4_0_a3_3_x_RNIS4H11[0:0]") 1) (direction INPUT))
           (port (array (rename datamux_o_alu_in_left_path_addr_1_rni208s7 "datamux_o_alu_in_left_path_addr_1_RNI208S7[1:1]") 1) (direction OUTPUT))
           (port k_memhif_4 (direction INPUT))
           (port k_memhif_2 (direction INPUT))
           (port k_memhif_1 (direction INPUT))
           (port k_memhif_0 (direction INPUT))
           (port (array (rename k_ealo_7 "k_ealo_7[3:1]") 3) (direction OUTPUT))
           (port (array (rename k_pp_active_reg_fast "k_pp_active_reg_fast[7:0]") 8) (direction INPUT))
           (port (array (rename k_pp_active_reg "k_pp_active_reg[7:0]") 8) (direction INPUT))
           (port k_memlof_6 (direction INPUT))
           (port k_memlof_5 (direction INPUT))
           (port k_memlof_4 (direction INPUT))
           (port k_memlof_2 (direction INPUT))
           (port k_memlof_1 (direction INPUT))
           (port k_memlof_0 (direction INPUT))
           (port (array (rename state_cnv_0 "state_cnv_0[0:0]") 1) (direction INPUT))
           (port (array (rename k_ind_ea_cnv_0 "k_ind_ea_cnv_0[0:0]") 1) (direction INPUT))
           (port (array (rename cpu0_data_in "cpu0_data_in[7:0]") 8) (direction INPUT))
           (port un1_regs_o_pc_5 (direction INPUT))
           (port un1_regs_o_pc_4 (direction INPUT))
           (port un1_regs_o_pc_3 (direction INPUT))
           (port un1_regs_o_pc_1 (direction INPUT))
           (port un1_regs_o_pc_0 (direction INPUT))
           (port un1_regs_o_pc_12 (direction INPUT))
           (port un1_regs_o_pc_10 (direction INPUT))
           (port un1_regs_o_pc_9 (direction INPUT))
           (port un1_regs_o_pc_8 (direction INPUT))
           (port (array (rename dec_o_p1_modef "dec_o_p1_modef[2:1]") 2) (direction INPUT))
           (port (array (rename k_pp_regs_cnv_4 "k_pp_regs_cnv_4[0:0]") 1) (direction INPUT))
           (port (array (rename state_cnv_3 "state_cnv_3[0:0]") 1) (direction OUTPUT))
           (port (array (rename k_ealo_cnv_0 "k_ealo_cnv_0[0:0]") 1) (direction INPUT))
           (port (array (rename k_ofshi "k_ofshi[7:0]") 8) (direction INPUT))
           (port (array (rename k_pp_regs_cnv_7 "k_pp_regs_cnv_7[0:0]") 1) (direction OUTPUT))
           (port dec_o_left_path_addr_2 (direction INPUT))
           (port dec_o_left_path_addr_0 (direction INPUT))
           (port (array (rename sbc16_w "sbc16_w[16:16]") 1) (direction INPUT))
           (port (array (rename sbc8_w "sbc8_w[8:8]") 1) (direction INPUT))
           (port (array (rename k_ofslo "k_ofslo[7:0]") 8) (direction INPUT))
           (port datamux_o_alu_in_left_path_addr_cnst_3 (direction OUTPUT))
           (port next_state_0 (direction INPUT))
           (port next_state_3 (direction INPUT))
           (port next_state_4 (direction INPUT))
           (port next_state_1 (direction INPUT))
           (port regs_o_right_path_data_4 (direction OUTPUT))
           (port regs_o_right_path_data_5 (direction OUTPUT))
           (port regs_o_right_path_data_0 (direction OUTPUT))
           (port (array (rename k_memlo "k_memlo[0:0]") 1) (direction INPUT))
           (port alu_o_CCR_4 (direction INPUT))
           (port alu_o_CCR_1 (direction INPUT))
           (port alu_o_CCR_2 (direction INPUT))
           (port alu_o_CCR_0 (direction INPUT))
           (port (array (rename k_memhi "k_memhi[3:3]") 1) (direction INPUT))
           (port (array (rename next_state_cnv_3 "next_state_cnv_3[0:0]") 1) (direction OUTPUT))
           (port (array (rename alu_o_result "alu_o_result[11:11]") 1) (direction INPUT))
           (port regs_o_left_path_data_0 (direction OUTPUT))
           (port regs_o_left_path_data_8 (direction OUTPUT))
           (port regs_o_left_path_data_14 (direction OUTPUT))
           (port regs_o_left_path_data_5 (direction OUTPUT))
           (port regs_o_left_path_data_4 (direction OUTPUT))
           (port regs_o_left_path_data_12 (direction OUTPUT))
           (port regs_o_left_path_data_11 (direction OUTPUT))
           (port regs_o_left_path_data_10 (direction OUTPUT))
           (port regs_o_left_path_data_9 (direction OUTPUT))
           (port regs_o_left_path_data_6 (direction OUTPUT))
           (port regs_o_left_path_data_3 (direction OUTPUT))
           (port regs_o_left_path_data_2 (direction OUTPUT))
           (port regs_o_left_path_data_1 (direction OUTPUT))
           (port (array (rename datamux_o_alu_in_left_path_addr_1 "datamux_o_alu_in_left_path_addr_1[2:0]") 3) (direction OUTPUT))
           (port (array (rename next_push_state_cnv_0 "next_push_state_cnv_0[0:0]") 1) (direction INPUT))
           (port (array (rename k_cpu_addr_26_iv_0_1 "k_cpu_addr_26_iv_0_1[0:0]") 1) (direction OUTPUT))
           (port (array (rename sub8_w "sub8_w[8:8]") 1) (direction INPUT))
           (port (array (rename add8_w "add8_w[8:8]") 1) (direction INPUT))
           (port regs_o_su_m_11 (direction OUTPUT))
           (port regs_o_su_m_8 (direction OUTPUT))
           (port regs_o_su_m_9 (direction OUTPUT))
           (port regs_o_su_m_7 (direction OUTPUT))
           (port regs_o_su_m_0 (direction OUTPUT))
           (port regs_o_su_m_3 (direction OUTPUT))
           (port k_cpu_addr_26_iv_1_0 (direction OUTPUT))
           (port k_cpu_addr_26_iv_1_7 (direction OUTPUT))
           (port k_cpu_addr_26_iv_1_3 (direction OUTPUT))
           (port k_cpu_addr_26_iv_1_11 (direction OUTPUT))
           (port k_cpu_addr_26_iv_1_8 (direction OUTPUT))
           (port k_cpu_addr_26_iv_1_9 (direction OUTPUT))
           (port k_new_pc_14 (direction INPUT))
           (port k_new_pc_13 (direction INPUT))
           (port k_new_pc_11 (direction INPUT))
           (port k_new_pc_7 (direction INPUT))
           (port k_new_pc_6 (direction INPUT))
           (port k_new_pc_2 (direction INPUT))
           (port state_23_1 (direction OUTPUT))
           (port state_23_3 (direction OUTPUT))
           (port state_23_0 (direction OUTPUT))
           (port (array (rename neg16_w "neg16_w[7:1]") 7) (direction INPUT))
           (port datamux_o_alu_in_left_path_data_15 (direction INPUT))
           (port datamux_o_alu_in_left_path_data_7 (direction INPUT))
           (port datamux_o_alu_in_left_path_data_0 (direction INPUT))
           (port (array (rename mul16_w "mul16_w[7:7]") 1) (direction INPUT))
           (port ACCA_1 (direction OUTPUT))
           (port ACCB_1 (direction OUTPUT))
           (port IX_0 (direction OUTPUT))
           (port (array (rename regs_o_pc "regs_o_pc[15:0]") 16) (direction OUTPUT))
           (port SS_15 (direction OUTPUT))
           (port SS_14 (direction OUTPUT))
           (port SS_12 (direction OUTPUT))
           (port SS_8 (direction OUTPUT))
           (port SS_7 (direction OUTPUT))
           (port SS_6 (direction OUTPUT))
           (port SS_4 (direction OUTPUT))
           (port SS_3 (direction OUTPUT))
           (port SS_1 (direction OUTPUT))
           (port SS_0 (direction OUTPUT))
           (port SU_15 (direction OUTPUT))
           (port SU_14 (direction OUTPUT))
           (port SU_12 (direction OUTPUT))
           (port SU_8 (direction OUTPUT))
           (port SU_7 (direction OUTPUT))
           (port SU_6 (direction OUTPUT))
           (port SU_4 (direction OUTPUT))
           (port SU_3 (direction OUTPUT))
           (port SU_1 (direction OUTPUT))
           (port SU_0 (direction OUTPUT))
           (port regs_o_eamem_addr_3 (direction OUTPUT))
           (port regs_o_eamem_addr_8 (direction OUTPUT))
           (port regs_o_eamem_addr_7 (direction OUTPUT))
           (port regs_o_eamem_addr_12 (direction OUTPUT))
           (port regs_o_eamem_addr_14 (direction OUTPUT))
           (port regs_o_eamem_addr_15 (direction OUTPUT))
           (port regs_o_eamem_addr_1 (direction OUTPUT))
           (port regs_o_eamem_addr_2 (direction OUTPUT))
           (port regs_o_eamem_addr_4 (direction OUTPUT))
           (port regs_o_eamem_addr_5 (direction OUTPUT))
           (port regs_o_eamem_addr_6 (direction OUTPUT))
           (port regs_o_eamem_addr_0 (direction OUTPUT))
           (port regs_o_eamem_addr_9 (direction OUTPUT))
           (port regs_o_eamem_addr_10 (direction OUTPUT))
           (port regs_o_eamem_addr_13 (direction OUTPUT))
           (port k_ealo_0 (direction INPUT))
           (port k_ealo_2 (direction INPUT))
           (port k_ealo_4 (direction INPUT))
           (port k_ealo_5 (direction INPUT))
           (port k_ealo_6 (direction INPUT))
           (port k_ealo_1 (direction INPUT))
           (port k_eahi_0 (direction INPUT))
           (port k_eahi_1 (direction INPUT))
           (port k_eahi_2 (direction INPUT))
           (port k_eahi_4 (direction INPUT))
           (port datamux_o_destf_4 (direction OUTPUT))
           (port datamux_o_destf_2 (direction OUTPUT))
           (port datamux_o_destf_0 (direction OUTPUT))
           (port datamux_o_destf_1 (direction OUTPUT))
           (port datamux_o_dest_15 (direction INPUT))
           (port datamux_o_dest_14 (direction INPUT))
           (port datamux_o_dest_13 (direction INPUT))
           (port datamux_o_dest_12 (direction INPUT))
           (port datamux_o_dest_10 (direction INPUT))
           (port datamux_o_dest_9 (direction INPUT))
           (port datamux_o_dest_8 (direction INPUT))
           (port datamux_o_dest_7 (direction INPUT))
           (port datamux_o_dest_6 (direction INPUT))
           (port datamux_o_dest_4 (direction INPUT))
           (port datamux_o_dest_0 (direction INPUT))
           (port datamux_o_dest_5 (direction INPUT))
           (port datamux_o_dest_3 (direction INPUT))
           (port datamux_o_dest_1 (direction INPUT))
           (port datamux_o_dest_2 (direction INPUT))
           (port (array (rename dest_reg_3 "dest_reg_3[0:0]") 1) (direction INPUT))
           (port (array (rename dec_o_alu_opcode "dec_o_alu_opcode[4:0]") 5) (direction INPUT))
           (port regs_o_dp_7 (direction OUTPUT))
           (port regs_o_dp_6 (direction OUTPUT))
           (port regs_o_dp_4 (direction OUTPUT))
           (port regs_o_dp_1 (direction OUTPUT))
           (port regs_o_dp_0 (direction OUTPUT))
           (port (array (rename dec_o_p1_mode "dec_o_p1_mode[2:0]") 3) (direction INPUT))
           (port dec_o_right_path_addr_3 (direction INPUT))
           (port dec_o_right_path_addr_0 (direction INPUT))
           (port (array (rename dec_o_dest_reg_addr "dec_o_dest_reg_addr[3:0]") 4) (direction INPUT))
           (port (array (rename next_push_state_i_m "next_push_state_i_m[1:1]") 1) (direction OUTPUT))
           (port (array (rename next_push_state "next_push_state[1:0]") 2) (direction INPUT))
           (port (array (rename k_pp_regs "k_pp_regs[7:0]") 8) (direction INPUT))
           (port (array (rename next_mem_state_cnv_0 "next_mem_state_cnv_0[0:0]") 1) (direction OUTPUT))
           (port (array (rename next_mem_state_i_m "next_mem_state_i_m[5:5]") 1) (direction OUTPUT))
           (port next_mem_state_0 (direction INPUT))
           (port next_mem_state_3 (direction INPUT))
           (port next_mem_state_4 (direction INPUT))
           (port next_mem_state_5 (direction INPUT))
           (port (array (rename state55_3 "state55_3[0:0]") 1) (direction INPUT))
           (port (array (rename state54 "state54[0:0]") 1) (direction OUTPUT))
           (port k_opcode_1 (direction INPUT))
           (port k_opcode_6 (direction INPUT))
           (port k_opcode_5 (direction INPUT))
           (port k_opcode_4 (direction INPUT))
           (port k_opcode_2 (direction INPUT))
           (port k_opcode_0 (direction INPUT))
           (port k_opcode_7 (direction INPUT))
           (port (array (rename state_o_c "state_o_c[5:0]") 6) (direction INPUT))
           (port (array (rename k_ind_ea "k_ind_ea[7:0]") 8) (direction INPUT))
           (port (array (rename regs_o_ccr_i "regs_o_CCR_i[0:0]") 1) (direction OUTPUT))
           (port regs_o_CCR_5 (direction OUTPUT))
           (port regs_o_CCR_2 (direction OUTPUT))
           (port regs_o_CCR_3 (direction OUTPUT))
           (port regs_o_CCR_1 (direction OUTPUT))
           (port regs_o_CCR_0 (direction OUTPUT))
           (port un1_regs_o_pc_1z (direction INPUT))
           (port N_298 (direction INPUT))
           (port k_p2_valid9_fast (direction OUTPUT))
           (port N_1968 (direction OUTPUT))
           (port datamux_o_alu_in_right_path_data29_1 (direction INPUT))
           (port un1_dest_reg_2_sqmuxa_1_1_0_2 (direction INPUT))
           (port N_302 (direction OUTPUT))
           (port N_333 (direction OUTPUT))
           (port k_p2_valid8_fast (direction OUTPUT))
           (port N_1652_fast (direction OUTPUT))
           (port N_1724_fast (direction OUTPUT))
           (port N_121 (direction OUTPUT))
           (port N_1670_fast (direction OUTPUT))
           (port N_1688_fast (direction OUTPUT))
           (port N_1706_fast (direction OUTPUT))
           (port k_pp_regs_27_sn_N_8 (direction OUTPUT))
           (port k_pp_regs_27_sn_m7_1 (direction OUTPUT))
           (port N_1688 (direction OUTPUT))
           (port N_44 (direction INPUT))
           (port k_cpu_data_o_7_sn_N_2 (direction OUTPUT))
           (port un1_state_61_3 (direction OUTPUT))
           (port dec_o_ea_indirectf (direction INPUT))
           (port k_write_post_incdec (direction INPUT))
           (port N_1811 (direction OUTPUT))
           (port N_795 (direction INPUT))
           (port k_opcode_3_rep2 (direction INPUT))
           (port un1_state_60_3 (direction INPUT))
           (port un1_state_62 (direction OUTPUT))
           (port un1_state_57_2 (direction INPUT))
           (port un1_state_49_1 (direction INPUT))
           (port un1_state_18_sn (direction INPUT))
           (port dec_o_ea_ofs8 (direction INPUT))
           (port un1_state_24_1_RNICQBV1 (direction OUTPUT))
           (port N_1239_1 (direction OUTPUT))
           (port N_21 (direction INPUT))
           (port k_p2_valid9 (direction OUTPUT))
           (port un1_state_25 (direction INPUT))
           (port k_inc_su (direction INPUT))
           (port k_p2_valid8 (direction OUTPUT))
           (port un1_k_p2_valid9 (direction OUTPUT))
           (port N_1652 (direction OUTPUT))
           (port N_1706 (direction OUTPUT))
           (port k_pp_regs_3_sqmuxa_1_0_0 (direction INPUT))
           (port N_1723_4 (direction OUTPUT))
           (port N_1670 (direction OUTPUT))
           (port k_new_pc_17_sn_N_6_muxf (direction INPUT))
           (port N_1513_i (direction OUTPUT))
           (port N_1551_i (direction OUTPUT))
           (port N_1570_i (direction OUTPUT))
           (port N_1589_i (direction OUTPUT))
           (port N_1608_i (direction OUTPUT))
           (port k_clear_e_3 (direction INPUT))
           (port un1_state_20_0 (direction INPUT))
           (port un1_state_31_2 (direction INPUT))
           (port N_177 (direction OUTPUT))
           (port k_opcode_2_rep2 (direction INPUT))
           (port k_opcode_0_rep1 (direction INPUT))
           (port N_231_0 (direction OUTPUT))
           (port N_116_i_0 (direction INPUT))
           (port N_1255 (direction INPUT))
           (port state_2_sqmuxa_5 (direction INPUT))
           (port N_771 (direction INPUT))
           (port N_804 (direction INPUT))
           (port N_791 (direction INPUT))
           (port N_787 (direction INPUT))
           (port un1_state_82_4 (direction OUTPUT))
           (port un1_state_21 (direction INPUT))
           (port un1_state_19 (direction INPUT))
           (port un1_k_pp_regs_7_sqmuxa (direction OUTPUT))
           (port un1_k_pp_regs_6_sqmuxa (direction OUTPUT))
           (port un1_state_76 (direction INPUT))
           (port un1_state_57_0 (direction INPUT))
           (port un1_k_opcode_3 (direction INPUT))
           (port state_1_sqmuxa_8 (direction OUTPUT))
           (port N_778_1 (direction OUTPUT))
           (port state182 (direction INPUT))
           (port N_1260_1 (direction INPUT))
           (port N_382 (direction OUTPUT))
           (port N_386 (direction OUTPUT))
           (port N_381 (direction OUTPUT))
           (port N_383 (direction OUTPUT))
           (port un1_k_pp_regs_3_sqmuxa (direction OUTPUT))
           (port N_824 (direction INPUT))
           (port N_736 (direction INPUT))
           (port N_794 (direction INPUT))
           (port un1_state_43 (direction INPUT))
           (port un1_k_pp_regs_2_sqmuxa (direction OUTPUT))
           (port un1_datamux_o_alu_in_left_path_addr30_1_1 (direction OUTPUT))
           (port N_767 (direction INPUT))
           (port N_242 (direction OUTPUT))
           (port N_243 (direction OUTPUT))
           (port N_244 (direction OUTPUT))
           (port N_264 (direction OUTPUT))
           (port un1_k_pp_regs_1_sqmuxa (direction OUTPUT))
           (port k_pull_reg_write (direction INPUT))
           (port state119 (direction OUTPUT))
           (port N_300 (direction OUTPUT))
           (port N_301 (direction OUTPUT))
           (port un1_state_72 (direction INPUT))
           (port un1_state_1_sqmuxa (direction OUTPUT))
           (port N_1724 (direction OUTPUT))
           (port N_1498_i (direction OUTPUT))
           (port N_1855 (direction INPUT))
           (port N_174 (direction INPUT))
           (port N_1765_i (direction OUTPUT))
           (port k_write_post_incdec_6 (direction OUTPUT))
           (port N_296 (direction OUTPUT))
           (port N_47_i (direction OUTPUT))
           (port N_358 (direction OUTPUT))
           (port N_352 (direction OUTPUT))
           (port N_280 (direction OUTPUT))
           (port N_248 (direction OUTPUT))
           (port N_313 (direction OUTPUT))
           (port N_263 (direction OUTPUT))
           (port N_318 (direction OUTPUT))
           (port N_268 (direction OUTPUT))
           (port un1_state_20_1 (direction INPUT))
           (port N_754 (direction INPUT))
           (port N_1167 (direction INPUT))
           (port N_345 (direction OUTPUT))
           (port N_350 (direction OUTPUT))
           (port N_349 (direction OUTPUT))
           (port N_828 (direction INPUT))
           (port N_734_i (direction INPUT))
           (port N_1864 (direction INPUT))
           (port k_p2_valid (direction INPUT))
           (port state68 (direction INPUT))
           (port N_823 (direction INPUT))
           (port N_847 (direction INPUT))
           (port N_1184 (direction INPUT))
           (port N_351 (direction OUTPUT))
           (port N_315 (direction OUTPUT))
           (port N_265 (direction OUTPUT))
           (port N_327 (direction OUTPUT))
           (port N_332 (direction OUTPUT))
           (port N_331 (direction OUTPUT))
           (port N_167 (direction OUTPUT))
           (port datamux_N_5 (direction INPUT))
           (port N_1792 (direction INPUT))
           (port un1_state_82_RNIUSTC3 (direction OUTPUT))
           (port state78 (direction INPUT))
           (port un1_k_cpu_addr_2_sqmuxa (direction OUTPUT))
           (port un1_k_cpu_addr_2_sqmuxa_1 (direction INPUT))
           (port k_pp_regs_11_sqmuxa (direction INPUT))
           (port N_186 (direction OUTPUT))
           (port un1_k_cpu_addr_3_sqmuxa (direction INPUT))
           (port un1_state_34 (direction INPUT))
           (port state79 (direction INPUT))
           (port un3_dest_flags_1 (direction INPUT))
           (port un1_k_cpu_addr_1_sqmuxa (direction INPUT))
           (port N_1451 (direction OUTPUT))
           (port un1_next_state_1_sqmuxa (direction OUTPUT))
           (port k_write_pc (direction INPUT))
           (port k_inc_pc (direction INPUT))
           (port un1_state_110 (direction INPUT))
           (port k_memlo_1_sqmuxa_RNIJI2O (direction OUTPUT))
           (port N_1950_2 (direction OUTPUT))
           (port N_1960 (direction INPUT))
           (port cneg16_r_13 (direction INPUT))
           (port un3_daa8h_r_ac0_5 (direction INPUT))
           (port cpu_clk_i (direction INPUT))
           (port un1_dec_o_write_flagsf (direction OUTPUT))
           (port un1_state80_RNITTHJ (direction INPUT))
           (port cpu_clkgen (direction INPUT))
           (port cff_1_sqmuxa_1_RNIJDPB1 (direction OUTPUT))
           (port un1_state_33_i (direction OUTPUT))
           (port N_1993 (direction OUTPUT))
           (port CCRo_sn_N_2 (direction INPUT))
           (port N_20 (direction INPUT))
           (port N_260 (direction INPUT))
           (port k_pull_reg_write_0_sqmuxa (direction OUTPUT))
           (port datamux_o_alu_in_left_path_addr24 (direction OUTPUT))
           (port N_826 (direction INPUT))
           (port N_733 (direction OUTPUT))
           (port N_821 (direction INPUT))
           (port next_state14 (direction OUTPUT))
           (port un1_state_83 (direction INPUT))
           (port N_1753_1 (direction OUTPUT))
           (port datamux_o_alu_in_left_path_data6 (direction OUTPUT))
           (port state_2_sqmuxa_6 (direction OUTPUT))
           (port un1_state_42 (direction INPUT))
           (port state160 (direction OUTPUT))
           (port un75 (direction OUTPUT))
           (port N_180_1 (direction OUTPUT))
           (port N_98 (direction INPUT))
           (port un70_c4 (direction INPUT))
           (port use_s_1 (direction INPUT))
           (port k_dec_su (direction INPUT))
           (port cpu_reset_i_4 (direction INPUT))
           (port cpu_clk (direction INPUT))
           (port un1_dec_o_ea_ofs8_1 (direction OUTPUT))
           (port un1_state_15 (direction OUTPUT))
           (port un1_state_59 (direction OUTPUT))
           (port un1_state_74_1 (direction OUTPUT))
           (port N_1436 (direction OUTPUT))
           (port un1_state_40_2 (direction INPUT))
           (port un1_state_33 (direction OUTPUT))
           (port un1_state_74_2 (direction OUTPUT))
           (port un1_state_59_1 (direction OUTPUT))
           (port un1_state_38 (direction INPUT))
           (port N_720 (direction OUTPUT))
           (port N_144 (direction INPUT))
           (port k_clear_e_3_2 (direction INPUT))
           (port N_766_2 (direction OUTPUT))
           (port un1_state_24_0 (direction INPUT))
           (port N_1237_1 (direction OUTPUT))
           (port N_1809_i (direction OUTPUT))
           (port un1_state_41 (direction OUTPUT))
           (port N_808_2 (direction INPUT))
           (port N_1245_2 (direction OUTPUT))
           (port ofs16_2 (direction INPUT))
           (port k_opcode_3_rep1 (direction INPUT))
           (port un1_state_35 (direction OUTPUT))
           (port N_1243_2 (direction OUTPUT))
           (port N_1262_1 (direction OUTPUT))
           (port N_725 (direction INPUT))
           (port N_721 (direction INPUT))
           (port un1_state_39_0 (direction OUTPUT))
           (port datamux_o_alu_in_left_path_data6_x (direction OUTPUT))
           (port k_opcode_5_rep1 (direction INPUT))
           (port k_opcode_6_rep1 (direction INPUT))
           (port k_opcode_7_rep1 (direction INPUT))
           (port N_295 (direction INPUT))
         )
         (contents
          (instance cff_RNIKCR3 (viewRef PRIM (cellRef INV (libraryRef LUCENT)))          )
          (instance datamux_o_alu_in_left_path_data6_x (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (!B A))+D (C (!B A)))"))
          )
          (instance k_write_post_incdec_6_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A))"))
          )
          (instance (rename state_23_iv_RNO_6_0 "state_23_iv_RNO_6[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A))"))
          )
          (instance un1_state_39_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (B A)))"))
          )
          (instance (rename state_cnst_i_a9_0_1_4 "state_cnst_i_a9_0_1[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(C (!B !A))"))
          )
          (instance state_2_sqmuxa_6_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (B A)))"))
          )
          (instance (rename state_cnst_i_a9_2_0 "state_cnst_i_a9_2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A))"))
          )
          (instance (rename state_cnst_i_a9_4_0 "state_cnst_i_a9_4[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (!B !A)))"))
          )
          (instance (rename state_cnst_i_1_RNO_4 "state_cnst_i_1_RNO[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B !A)))"))
          )
          (instance state234_3_RNI13D91 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (B A)))"))
          )
          (instance (rename state54_2_RNIA0UG1_0 "state54_2_RNIA0UG1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (!B A)))"))
          )
          (instance (rename state_23_iv_RNO_5_0 "state_23_iv_RNO_5[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D A+D (!C (B A)+C A))"))
          )
          (instance un1_state_38_1_RNIG1NG1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (C (B A)))"))
          )
          (instance N_1809_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D+(C+(B+A)))"))
          )
          (instance (rename state_cnst_0_5_RNO_3 "state_cnst_0_5_RNO[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D A+D (!C A+C (B+A)))"))
          )
          (instance un1_state_82_3_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (B A)+D (!C (B A)+C B))"))
          )
          (instance un1_eapostbyte_9_sn_m13_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C+(!B+A))+D (!B+A))"))
          )
          (instance (rename un1_eapostbyte_9_0_a4_4_RNI2U49_1 "un1_eapostbyte_9_0_a4_4_RNI2U49[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A))+D (B A))"))
          )
          (instance (rename un7_0_f1_2 "un7_0_f1[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (C (!B !A)))"))
          )
          (instance state234_3_RNI3S4G1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (C (!B !A)))"))
          )
          (instance (rename state54_2_RNIC2741_0 "state54_2_RNIC2741[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (!B A))+D (!B A))"))
          )
          (instance un1_state_15_1_RNIFNNC2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D A+D (!C A+C (B+A)))"))
          )
          (instance state234_3_RNIAHQR1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D A+D (!C (B+A)+C A))"))
          )
          (instance un1_eapostbyte_6_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (B A)))"))
          )
          (instance un1_state_15_RNIK6741 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C !A)"))
          )
          (instance un1_dec_o_ea_ofs8_1_RNIC5IP1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (!B+!A)))"))
          )
          (instance SU_1_sqmuxa_2_0_a2_RNIP0H01 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!B A)+D (!C (!B A)))"))
          )
          (instance (rename state_cnst_0_8_RNO_0_2 "state_cnst_0_8_RNO_0[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (C (!B !A)))"))
          )
          (instance (rename ACCB_RNIP39B_0 "ACCB_RNIP39B[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B !A)"))
          )
          (instance un75_RNI5HS71 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (C (!B !A)))"))
          )
          (instance state_2_sqmuxa_6_RNI96LT (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (!B !A))+D !A)"))
          )
          (instance datamux_o_alu_in_left_path_data6_RNI93IN (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B !A)+C !A)"))
          )
          (instance (rename state_23_iv_RNO_1 "state_23_iv_RNO[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A))"))
          )
          (instance (rename state_23_iv_RNO_3 "state_23_iv_RNO[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A))+D (B A))"))
          )
          (instance (rename state_cnst_0_8_RNO_2 "state_cnst_0_8_RNO[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (B A)))"))
          )
          (instance (rename state_23_iv_RNO_1_0 "state_23_iv_RNO_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A)))"))
          )
          (instance (rename datamux_o_dest_reg_addr_0_RNIO9PU_0 "datamux_o_dest_reg_addr_0_RNIO9PU[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A+C (B A))+D (C (B A)))"))
          )
          (instance (rename datamux_o_dest_reg_addr_0_RNISJ1R_1 "datamux_o_dest_reg_addr_0_RNISJ1R[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C !A+C (B !A))+D (C (B !A)))"))
          )
          (instance (rename datamux_o_dest_reg_addr_0_RNIPAPU_1 "datamux_o_dest_reg_addr_0_RNIPAPU[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A+C (B A))+D (C (B A)))"))
          )
          (instance SU_1_sqmuxa_3_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (!B !A))+D (!C !A+C (!B !A)))"))
          )
          (instance (rename state_cnst_0_10_1_RNO_1 "state_cnst_0_10_1_RNO[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (C (!B !A)))"))
          )
          (instance (rename DP_RNIK3571_0 "DP_RNIK3571[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C+(!B+A))"))
          )
          (instance un1_state_59_RNIPPC33 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A))"))
          )
          (instance (rename state_23_iv_RNO_0 "state_23_iv_RNO[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (C (B !A)))"))
          )
          (instance (rename SU_RNO_0 "SU_RNO[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!B A+B !A)+D (!C (!B A+B !A)+C !B))"))
          )
          (instance (rename SS_RNO_0 "SS_RNO[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (!B A+B !A)+C !B)+D (!B A+B !A))"))
          )
          (instance cff_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!B A)+D (C A))"))
          )
          (instance (rename datamux_o_alu_in_right_path_data_i_m2_RNIVJV3E_0 "datamux_o_alu_in_right_path_data_i_m2_RNIVJV3E[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C !A)"))
          )
          (instance un1_state_15_1_RNIF2M41 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D+(!C+(!B+!A)))"))
          )
          (instance zff_pipe (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance vff_pipe (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance nff_pipe (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_76 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_74 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_72 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_70 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_7 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_67 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_60 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_56 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_53 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_49 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_46 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_42 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_4 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_39 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_35 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_32 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_28 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_25 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_21 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_2 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_18 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_14 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_11 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance hflag_pipe_2 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance hflag_pipe (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance cff (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename SU_0 "SU[0]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename SU_1 "SU[1]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename SU_2 "SU[2]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename SU_3 "SU[3]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename SU_4 "SU[4]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename SU_5 "SU[5]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename SU_6 "SU[6]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename SU_7 "SU[7]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename SU_8 "SU[8]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename SU_9 "SU[9]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename SU_10 "SU[10]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename SU_11 "SU[11]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename SU_12 "SU[12]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename SU_13 "SU[13]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename SU_14 "SU[14]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename SU_15 "SU[15]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename SS_0 "SS[0]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename SS_1 "SS[1]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename SS_2 "SS[2]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename SS_3 "SS[3]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename SS_4 "SS[4]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename SS_5 "SS[5]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename SS_6 "SS[6]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename SS_7 "SS[7]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename SS_8 "SS[8]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename SS_9 "SS[9]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename SS_10 "SS[10]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename SS_11 "SS[11]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename SS_12 "SS[12]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename SS_13 "SS[13]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename SS_14 "SS[14]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename SS_15 "SS[15]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename PC_0 "PC[0]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename PC_1 "PC[1]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename PC_2 "PC[2]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename PC_3 "PC[3]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename PC_4 "PC[4]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename PC_5 "PC[5]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename PC_6 "PC[6]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename PC_7 "PC[7]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename PC_8 "PC[8]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename PC_9 "PC[9]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename PC_10 "PC[10]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename PC_11 "PC[11]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename PC_12 "PC[12]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename PC_13 "PC[13]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename PC_14 "PC[14]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename PC_15 "PC[15]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename IY_0 "IY[0]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename IY_1 "IY[1]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename IY_2 "IY[2]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename IY_3 "IY[3]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename IY_4 "IY[4]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename IY_5 "IY[5]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename IY_6 "IY[6]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename IY_7 "IY[7]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename IY_8 "IY[8]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename IY_9 "IY[9]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename IY_10 "IY[10]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename IY_11 "IY[11]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename IY_12 "IY[12]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename IY_13 "IY[13]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename IY_14 "IY[14]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename IY_15 "IY[15]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename IX_0 "IX[0]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename IX_1 "IX[1]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename IX_2 "IX[2]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename IX_3 "IX[3]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename IX_4 "IX[4]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename IX_5 "IX[5]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename IX_6 "IX[6]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename IX_7 "IX[7]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename IX_8 "IX[8]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename IX_9 "IX[9]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename IX_10 "IX[10]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename IX_11 "IX[11]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename IX_12 "IX[12]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename IX_13 "IX[13]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename IX_14 "IX[14]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename IX_15 "IX[15]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename DP_0 "DP[0]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename DP_1 "DP[1]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename DP_2 "DP[2]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename DP_3 "DP[3]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename DP_4 "DP[4]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename DP_5 "DP[5]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename DP_6 "DP[6]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename DP_7 "DP[7]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename ACCB_0 "ACCB[0]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename ACCB_1 "ACCB[1]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename ACCB_2 "ACCB[2]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename ACCB_3 "ACCB[3]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename ACCB_4 "ACCB[4]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename ACCB_5 "ACCB[5]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename ACCB_6 "ACCB[6]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename ACCB_7 "ACCB[7]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename ACCA_0 "ACCA[0]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename ACCA_1 "ACCA[1]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename ACCA_2 "ACCA[2]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename ACCA_3 "ACCA[3]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename ACCA_4 "ACCA[4]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename ACCA_5 "ACCA[5]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename ACCA_6 "ACCA[6]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename ACCA_7 "ACCA[7]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance cff_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (B A))+D (!C+(!B+A)))"))
          )
          (instance cff_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (!B !A)))"))
          )
          (instance cff_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C+(B+A))"))
          )
          (instance cff_RNO_1 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance cff_6_i_a2_6_RNI7SMKJ (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (!B !A)))"))
          )
          (instance cff_6_i_a2_0_RNIKM8CF (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C+B)+D (C+(B+!A)))"))
          )
          (instance cff_RNO_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D+(!C A+C (B+A)))"))
          )
          (instance cff_6_i_a2_3_1_RNI46OV1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D A+D (!C A+C (!B+A)))"))
          )
          (instance cff_6_i_a2_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(C (B+!A))"))
          )
          (instance cff_RNO_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C !A)+D (!C B+C (B+!A)))"))
          )
          (instance cff_6_i_a2_1_1_RNINCB72 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C B+C (B !A)))"))
          )
          (instance cff_6_i_a2_2_1_RNIVC6JE (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C B+C (B A))+D (!C (B+A)+C (B A)))"))
          )
          (instance cff_6_i_a2_6_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(C (B A))"))
          )
          (instance cff_6_i_a2_8_RNI21S81 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C !A)+D (C (!B !A)))"))
          )
          (instance cff_6_i_a2_5_2_1_RNIRCNU (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))"))
          )
          (instance cff_6_i_a2_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (C (B A)))"))
          )
          (instance cff_6_i_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A))"))
          )
          (instance cff_6_i_a2_3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (B A)))"))
          )
          (instance cff_6_i_a2_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(C (B A))"))
          )
          (instance cff_6_i_a2_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(C (!B A))"))
          )
          (instance cff_6_i_a2_3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(C (!B A))"))
          )
          (instance cff_1_sqmuxa_1_RNIJDPB1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C+(B A)))"))
          )
          (instance cff_6_i_a2_2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(C (B A))"))
          )
          (instance cff_6_i_a2_6_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (!B !A)))"))
          )
          (instance k_memlo_1_sqmuxa_RNIJI2O (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C B+C (B+A)))"))
          )
          (instance (rename state_23_iv_0 "state_23_iv[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C B+C (B+!A))+D B)"))
          )
          (instance (rename state_23_iv_3 "state_23_iv[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C+(B+A))+D (B+A))"))
          )
          (instance (rename state_23_iv_1 "state_23_iv[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D+(C+(B+A)))"))
          )
          (instance cff_6_i_a2_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!B !A)"))
          )
          (instance (rename state_23_0_iv_i_RNO_4 "state_23_0_iv_i_RNO[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C+(B+A)))"))
          )
          (instance (rename state_23_iv_RNO_0_1 "state_23_iv_RNO_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C+(B+A)))"))
          )
          (instance (rename un1_data_w_i_m3_0_RNIB76G_0 "un1_data_w_i_m3_0_RNIB76G[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance un1_data_w_1_cry_1_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance un1_data_w_1_cry_1_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance un1_data_w_1_cry_3_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance un1_data_w_1_cry_3_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance un1_data_w_1_cry_5_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance un1_data_w_1_cry_5_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance un1_data_w_1_cry_7_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance un1_data_w_1_cry_7_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance un1_data_w_1_cry_9_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance un1_data_w_1_cry_9_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance un1_data_w_1_cry_11_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance un1_data_w_1_cry_11_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance un1_data_w_1_cry_13_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance un1_data_w_1_cry_13_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance un1_data_w_1_s_15_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename SS_RNIC6J71_0 "SS_RNIC6J71[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename ea_reg_post15_RNI16QG_0 "ea_reg_post15_RNI16QG[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A+B !A)+C !A)"))
          )
          (instance SS_3_sqmuxa_RNI8P4U1 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance un1_SS_1_cry_1_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance un1_SS_1_cry_1_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance un1_SS_1_cry_3_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance un1_SS_1_cry_3_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance un1_SS_1_cry_5_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance un1_SS_1_cry_5_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance un1_SS_1_cry_7_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance un1_SS_1_cry_7_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance un1_SS_1_cry_9_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance un1_SS_1_cry_9_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance un1_SS_1_cry_11_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance un1_SS_1_cry_11_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance un1_SS_1_cry_13_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance un1_SS_1_cry_13_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance un1_SS_1_s_15_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance (rename PC_lm_0_am_0 "PC_lm_0_am[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (B+!A))"))
          )
          (instance (rename PC_lm_0_bm_0 "PC_lm_0_bm[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D B+D (!C A+C B))"))
          )
          (instance (rename PC_lm_0_0 "PC_lm_0[0]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename PC_lm_0_am_1 "PC_lm_0_am[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (B+!A))"))
          )
          (instance (rename PC_lm_0_bm_1 "PC_lm_0_bm[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D A+D (!C (B A)+C (!B+A)))"))
          )
          (instance (rename PC_lm_0_1 "PC_lm_0[1]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename PC_lm_0_am_2 "PC_lm_0_am[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (B+!A))"))
          )
          (instance (rename PC_lm_0_bm_2 "PC_lm_0_bm[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D A+D (!C (B A)+C (!B+A)))"))
          )
          (instance (rename PC_lm_0_2 "PC_lm_0[2]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename PC_lm_0_am_3 "PC_lm_0_am[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (B+!A))"))
          )
          (instance (rename PC_lm_0_bm_3 "PC_lm_0_bm[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D A+D (!C (B A)+C (!B+A)))"))
          )
          (instance (rename PC_lm_0_3 "PC_lm_0[3]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename PC_lm_0_am_4 "PC_lm_0_am[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (B+!A))"))
          )
          (instance (rename PC_lm_0_bm_4 "PC_lm_0_bm[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D A+D (!C (B A)+C (!B+A)))"))
          )
          (instance (rename PC_lm_0_4 "PC_lm_0[4]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename PC_lm_0_am_5 "PC_lm_0_am[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (B+!A))"))
          )
          (instance (rename PC_lm_0_bm_5 "PC_lm_0_bm[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D A+D (!C (B A)+C (!B+A)))"))
          )
          (instance (rename PC_lm_0_5 "PC_lm_0[5]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename PC_lm_0_am_6 "PC_lm_0_am[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (B+!A))"))
          )
          (instance (rename PC_lm_0_bm_6 "PC_lm_0_bm[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D A+D (!C (B A)+C (!B+A)))"))
          )
          (instance (rename PC_lm_0_6 "PC_lm_0[6]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename PC_lm_0_am_7 "PC_lm_0_am[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (B+!A))"))
          )
          (instance (rename PC_lm_0_bm_7 "PC_lm_0_bm[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D A+D (!C (B A)+C (!B+A)))"))
          )
          (instance (rename PC_lm_0_7 "PC_lm_0[7]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename PC_lm_0_am_8 "PC_lm_0_am[8]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (B+!A))"))
          )
          (instance (rename PC_lm_0_bm_8 "PC_lm_0_bm[8]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D A+D (!C (B A)+C (!B+A)))"))
          )
          (instance (rename PC_lm_0_8 "PC_lm_0[8]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename PC_lm_0_am_9 "PC_lm_0_am[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (B+!A))"))
          )
          (instance (rename PC_lm_0_bm_9 "PC_lm_0_bm[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D A+D (!C (B A)+C (!B+A)))"))
          )
          (instance (rename PC_lm_0_9 "PC_lm_0[9]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename PC_lm_0_am_10 "PC_lm_0_am[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (B+!A))"))
          )
          (instance (rename PC_lm_0_bm_10 "PC_lm_0_bm[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D A+D (!C (B A)+C (!B+A)))"))
          )
          (instance (rename PC_lm_0_10 "PC_lm_0[10]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename PC_lm_0_am_11 "PC_lm_0_am[11]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (B+!A))"))
          )
          (instance (rename PC_lm_0_bm_11 "PC_lm_0_bm[11]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D A+D (!C (B A)+C (!B+A)))"))
          )
          (instance (rename PC_lm_0_11 "PC_lm_0[11]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename PC_lm_0_am_12 "PC_lm_0_am[12]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (B+!A))"))
          )
          (instance (rename PC_lm_0_bm_12 "PC_lm_0_bm[12]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D A+D (!C (B A)+C (!B+A)))"))
          )
          (instance (rename PC_lm_0_12 "PC_lm_0[12]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename PC_lm_0_am_13 "PC_lm_0_am[13]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (B+!A))"))
          )
          (instance (rename PC_lm_0_bm_13 "PC_lm_0_bm[13]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D A+D (!C (B A)+C (!B+A)))"))
          )
          (instance (rename PC_lm_0_13 "PC_lm_0[13]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename PC_lm_0_am_14 "PC_lm_0_am[14]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (B+!A))"))
          )
          (instance (rename PC_lm_0_bm_14 "PC_lm_0_bm[14]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D A+D (!C (B A)+C (!B+A)))"))
          )
          (instance (rename PC_lm_0_14 "PC_lm_0[14]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename PC_lm_0_am_15 "PC_lm_0_am[15]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (B+!A))"))
          )
          (instance (rename PC_lm_0_bm_15 "PC_lm_0_bm[15]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D A+D (!C (B A)+C (!B+A)))"))
          )
          (instance (rename PC_lm_0_15 "PC_lm_0[15]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename state_cnst_0_8_RNIBFL51_2 "state_cnst_0_8_RNIBFL51[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B+A)))"))
          )
          (instance (rename k_cpu_addr_26_iv_1_11 "k_cpu_addr_26_iv_1[11]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C (B+A))"))
          )
          (instance (rename k_cpu_addr_26_iv_1_10 "k_cpu_addr_26_iv_1[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C (B+A))"))
          )
          (instance (rename k_cpu_addr_26_iv_1_13 "k_cpu_addr_26_iv_1[13]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C (B+A))"))
          )
          (instance (rename state_23_iv_3_0 "state_23_iv_3[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C+B)+D (C+(B+!A)))"))
          )
          (instance un1_dec_o_write_flags (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (C+(B+!A)))"))
          )
          (instance cff_6_i_m2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance cff_6_i_a2_12_2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (B A)))"))
          )
          (instance cff_6_i_a2_6_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (!B !A)))"))
          )
          (instance un1_state_33_RNIRI5T2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (B A))+D (B A))"))
          )
          (instance (rename SU_RNIVQ2M_5 "SU_RNIVQ2M[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (B !A)+C (B+A)))"))
          )
          (instance cff_6_i_a2_2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (B A)))"))
          )
          (instance (rename SU_RNIPK2M_2 "SU_RNIPK2M[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (B !A)+C (B+A)))"))
          )
          (instance (rename SU_RNI733M_9 "SU_RNI733M[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (B !A)+C (B+A)))"))
          )
          (instance (rename SS_RNIP7PF_11 "SS_RNIP7PF[11]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (B !A)+C (B+A)))"))
          )
          (instance (rename k_cpu_addr_26_iv_0_a2_0 "k_cpu_addr_26_iv_0_a2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (B !A)+C (B+A)))"))
          )
          (instance (rename SS_RNIN5PF_10 "SS_RNIN5PF[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (B !A)+C (B+A)))"))
          )
          (instance k_memlo_1_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (!B A)+C B))"))
          )
          (instance (rename SS_RNITBPF_13 "SS_RNITBPF[13]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (B !A)+C (B+A)))"))
          )
          (instance cff_6_i_m2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C B+C A)+D (!C A+C B))"))
          )
          (instance cff_6_i_a2_5_2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (B+!A)))"))
          )
          (instance (rename k_cpu_addr_26_iv_1_5 "k_cpu_addr_26_iv_1[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C (B+A))"))
          )
          (instance (rename k_cpu_addr_26_iv_1_9 "k_cpu_addr_26_iv_1[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C (B+A))"))
          )
          (instance (rename k_cpu_addr_26_iv_0_1_0 "k_cpu_addr_26_iv_0_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C (B+A))"))
          )
          (instance (rename k_cpu_addr_26_iv_0_11 "k_cpu_addr_26_iv_0[11]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C B)+D (!C A+C (B+A)))"))
          )
          (instance (rename k_cpu_addr_26_iv_1_2 "k_cpu_addr_26_iv_1[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C (B+A))"))
          )
          (instance (rename k_cpu_addr_26_iv_0_10 "k_cpu_addr_26_iv_0[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C B)+D (!C A+C (B+A)))"))
          )
          (instance (rename k_cpu_addr_26_iv_0_13 "k_cpu_addr_26_iv_0[13]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C B)+D (!C A+C (B+A)))"))
          )
          (instance cff_6_i_a2_11_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (C (!B A)))"))
          )
          (instance cff_6_i_a2_7_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (!B !A)+C !A))"))
          )
          (instance cff_6_i_a2_4_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B !A)))"))
          )
          (instance (rename ACCA_RNO_1 "ACCA_RNO[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename ACCA_RNO_2 "ACCA_RNO[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename ACCA_RNO_3 "ACCA_RNO[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename ACCA_RNO_4 "ACCA_RNO[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename ACCA_RNO_5 "ACCA_RNO[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename ACCA_RNO_6 "ACCA_RNO[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename ACCA_RNO_7 "ACCA_RNO[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename ACCA_RNO_0 "ACCA_RNO[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename un1_SS_0_15 "un1_SS_0[15]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename un1_SS_0_14 "un1_SS_0[14]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename un1_SS_0_13 "un1_SS_0[13]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename un1_SS_0_12 "un1_SS_0[12]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename un1_SS_0_11 "un1_SS_0[11]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename un1_SS_0_10 "un1_SS_0[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename un1_SS_0_9 "un1_SS_0[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename un1_SS_0_8 "un1_SS_0[8]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename un1_SS_0_7 "un1_SS_0[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename un1_SS_0_6 "un1_SS_0[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename un1_SS_0_5 "un1_SS_0[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename un1_SS_0_4 "un1_SS_0[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename un1_SS_0_3 "un1_SS_0[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename un1_SS_0_2 "un1_SS_0[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename un1_SS_0_1 "un1_SS_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename IX_7_i_m3_0 "IX_7_i_m3[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A)+C B)+D (!C (B+!A)+C B))"))
          )
          (instance (rename IY_7_i_m3_0 "IY_7_i_m3[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C B+C (B A))+D (!C B+C (B+!A)))"))
          )
          (instance (rename un1_data_w_i_m3_0_0 "un1_data_w_i_m3_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance (rename un1_data_w_0_1 "un1_data_w_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance (rename IY_7_1 "IY_7[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C B+C (B A))+D (!C B+C (B+!A)))"))
          )
          (instance (rename IX_7_1 "IX_7[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A)+C B)+D (!C (B+!A)+C B))"))
          )
          (instance (rename un1_data_w_0_2 "un1_data_w_0[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance (rename IY_7_2 "IY_7[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C B+C (B A))+D (!C B+C (B+!A)))"))
          )
          (instance (rename IX_7_2 "IX_7[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A)+C B)+D (!C (B+!A)+C B))"))
          )
          (instance (rename IY_7_3 "IY_7[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C B+C (B A))+D (!C B+C (B+!A)))"))
          )
          (instance (rename IX_7_3 "IX_7[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A)+C B)+D (!C (B+!A)+C B))"))
          )
          (instance (rename un1_data_w_0_3 "un1_data_w_0[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance (rename IX_7_4 "IX_7[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A)+C B)+D (!C (B+!A)+C B))"))
          )
          (instance (rename IY_7_4 "IY_7[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C B+C (B A))+D (!C B+C (B+!A)))"))
          )
          (instance (rename un1_data_w_0_4 "un1_data_w_0[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance (rename un1_data_w_0_5 "un1_data_w_0[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance (rename IY_7_5 "IY_7[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C B+C (B A))+D (!C B+C (B+!A)))"))
          )
          (instance (rename IX_7_5 "IX_7[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A)+C B)+D (!C (B+!A)+C B))"))
          )
          (instance (rename IY_7_6 "IY_7[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C B+C (B A))+D (!C B+C (B+!A)))"))
          )
          (instance (rename IX_7_6 "IX_7[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A)+C B)+D (!C (B+!A)+C B))"))
          )
          (instance (rename un1_data_w_0_6 "un1_data_w_0[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance (rename IY_7_7 "IY_7[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C B+C (B A))+D (!C B+C (B+!A)))"))
          )
          (instance (rename IX_7_7 "IX_7[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A)+C B)+D (!C (B+!A)+C B))"))
          )
          (instance (rename un1_data_w_0_7 "un1_data_w_0[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance (rename un1_data_w_0_8 "un1_data_w_0[8]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance (rename IY_7_8 "IY_7[8]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C B+C (B A))+D (!C B+C (B+!A)))"))
          )
          (instance (rename IX_7_8 "IX_7[8]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A)+C B)+D (!C (B+!A)+C B))"))
          )
          (instance (rename un1_data_w_0_9 "un1_data_w_0[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance (rename IY_7_9 "IY_7[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C B+C (B A))+D (!C B+C (B+!A)))"))
          )
          (instance (rename IX_7_9 "IX_7[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A)+C B)+D (!C (B+!A)+C B))"))
          )
          (instance (rename un1_data_w_0_10 "un1_data_w_0[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance (rename IY_7_10 "IY_7[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C B+C (B A))+D (!C B+C (B+!A)))"))
          )
          (instance (rename IX_7_10 "IX_7[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A)+C B)+D (!C (B+!A)+C B))"))
          )
          (instance (rename un1_data_w_0_11 "un1_data_w_0[11]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance (rename IY_7_11 "IY_7[11]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C B+C (B A))+D (!C B+C (B+!A)))"))
          )
          (instance (rename IX_7_11 "IX_7[11]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A)+C B)+D (!C (B+!A)+C B))"))
          )
          (instance (rename un1_data_w_0_12 "un1_data_w_0[12]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance (rename IY_7_12 "IY_7[12]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C B+C (B A))+D (!C B+C (B+!A)))"))
          )
          (instance (rename IX_7_12 "IX_7[12]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A)+C B)+D (!C (B+!A)+C B))"))
          )
          (instance (rename un1_data_w_0_13 "un1_data_w_0[13]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A+C (B A))+D (!C A+C (!B+A)))"))
          )
          (instance (rename IX_7_13 "IX_7[13]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A)+C B)+D (!C (B+!A)+C B))"))
          )
          (instance (rename IY_7_13 "IY_7[13]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C B+C (B A))+D (!C B+C (B+!A)))"))
          )
          (instance (rename IY_7_14 "IY_7[14]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C B+C (B A))+D (!C B+C (B+!A)))"))
          )
          (instance (rename IX_7_14 "IX_7[14]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A)+C B)+D (!C (B+!A)+C B))"))
          )
          (instance (rename un1_data_w_0_14 "un1_data_w_0[14]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance (rename IY_7_15 "IY_7[15]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C B+C (B A))+D (!C B+C (B+!A)))"))
          )
          (instance (rename IX_7_15 "IX_7[15]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A)+C B)+D (!C (B+!A)+C B))"))
          )
          (instance (rename un1_data_w_0_15 "un1_data_w_0[15]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance un1_next_state_1_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C A)"))
          )
          (instance un1_state_82_RNIUSTC3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C A+C (B A)))"))
          )
          (instance (rename state_cnst_0_3 "state_cnst_0[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D+(!C (B !A)))"))
          )
          (instance (rename k_cpu_addr_26_iv_0_5 "k_cpu_addr_26_iv_0[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))"))
          )
          (instance (rename k_cpu_addr_26_iv_0_9 "k_cpu_addr_26_iv_0[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))"))
          )
          (instance (rename k_cpu_addr_26_iv_0_0_0 "k_cpu_addr_26_iv_0_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))"))
          )
          (instance (rename k_cpu_addr_26_iv_0_2 "k_cpu_addr_26_iv_0[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))"))
          )
          (instance (rename state_23_iv_RNO_0_0 "state_23_iv_RNO_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (!B !A)))"))
          )
          (instance un1_SU_3_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C+(B+A))+D (B+A))"))
          )
          (instance IY_1_sqmuxa_1_RNILILD (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C+(B !A)))"))
          )
          (instance IX_1_sqmuxa_RNI4SNK (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C+(!B !A)))"))
          )
          (instance (rename path_left_data_i_a2_0 "path_left_data_i_a2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C !B)+D (C !A))"))
          )
          (instance PC_1_sqmuxa_0_RNINS2K1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (B !A)+D (!C (B !A)+C !A))"))
          )
          (instance cff_6_i_a2_1_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B !A)"))
          )
          (instance (rename path_left_data_2 "path_left_data[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename path_left_data_3 "path_left_data[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename path_left_data_am_4 "path_left_data_am[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename path_left_data_bm_4 "path_left_data_bm[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (B+!A))"))
          )
          (instance (rename path_left_data_4 "path_left_data[4]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename path_left_data_7 "path_left_data[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename datamux_o_dest_11 "datamux_o_dest[11]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance (rename state_cnst_0_a9_2 "state_cnst_0_a9[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (B+A)))"))
          )
          (instance (rename state_cnst_i_a9_7_4 "state_cnst_i_a9_7[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (C (B A)))"))
          )
          (instance (rename state_cnst_i_a9_10_0 "state_cnst_i_a9_10[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A)))"))
          )
          (instance (rename state_cnst_i_o2_2_0 "state_cnst_i_o2_2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D+(!C A+C (B+A)))"))
          )
          (instance (rename state_cnst_0_6_3 "state_cnst_0_6[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D+(C (B A)))"))
          )
          (instance (rename state_cnst_i_6_4 "state_cnst_i_6[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D+(!C (B+A)+C A))"))
          )
          (instance (rename state_cnst_0_9_1 "state_cnst_0_9[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D+(C (B A)))"))
          )
          (instance next_state_2_sqmuxa_2_RNIB8SO5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C !B)+D (C (!B A)))"))
          )
          (instance (rename path_left_data_am_10 "path_left_data_am[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(C+(B !A))"))
          )
          (instance (rename path_left_data_bm_10 "path_left_data_bm[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (B+!A))"))
          )
          (instance (rename path_left_data_10 "path_left_data[10]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename path_left_data_am_11 "path_left_data_am[11]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(C+(B !A))"))
          )
          (instance (rename path_left_data_bm_11 "path_left_data_bm[11]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (B+!A))"))
          )
          (instance (rename path_left_data_11 "path_left_data[11]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename path_left_data_am_12 "path_left_data_am[12]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(C+(B !A))"))
          )
          (instance (rename path_left_data_bm_12 "path_left_data_bm[12]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (B+!A))"))
          )
          (instance (rename path_left_data_12 "path_left_data[12]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename path_left_data_am_13 "path_left_data_am[13]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(C+(B !A))"))
          )
          (instance (rename path_left_data_bm_13 "path_left_data_bm[13]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (B+!A))"))
          )
          (instance (rename path_left_data_13 "path_left_data[13]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename path_left_data_5 "path_left_data[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename path_left_data_6 "path_left_data[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename path_left_data_am_15 "path_left_data_am[15]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(C+(B !A))"))
          )
          (instance (rename path_left_data_bm_15 "path_left_data_bm[15]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (B+!A))"))
          )
          (instance (rename path_left_data_15 "path_left_data[15]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename path_left_data_am_9 "path_left_data_am[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(C+(B !A))"))
          )
          (instance (rename path_left_data_bm_9 "path_left_data_bm[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (B+!A))"))
          )
          (instance (rename path_left_data_9 "path_left_data[9]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename path_left_data_1 "path_left_data[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance PC_1_sqmuxa_0_RNINS2K1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (B+A)+D (C+(B+A)))"))
          )
          (instance un1_write_reg_addr_4_RNI048L (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A))"))
          )
          (instance (rename state_cnst_i_a3_6_0 "state_cnst_i_a3_6[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (B !A)))"))
          )
          (instance next_state_2_sqmuxa_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (B !A)+C (!B !A)))"))
          )
          (instance (rename state_cnst_i_a9_4 "state_cnst_i_a9[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (!B !A)+C !B))"))
          )
          (instance (rename state_cnst_0_a9_4_1 "state_cnst_0_a9_4[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (B A)))"))
          )
          (instance (rename state_cnst_0_8_2 "state_cnst_0_8[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D+(C+(B+A)))"))
          )
          (instance un1_write_reg_addr_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (!B !A))+D (!C (!B A)))"))
          )
          (instance (rename datamux_o_dest_0_11 "datamux_o_dest_0[11]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename path_left_data_6_am_7 "path_left_data_6_am[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D+(C+(!B+!A)))"))
          )
          (instance (rename path_left_data_6_bm_7 "path_left_data_6_bm[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename path_left_data_6_7 "path_left_data_6[7]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename path_left_data_6_am_2 "path_left_data_6_am[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D+(C+(!B+!A)))"))
          )
          (instance (rename path_left_data_6_bm_2 "path_left_data_6_bm[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename path_left_data_6_2 "path_left_data_6[2]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance SU_1_sqmuxa_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (C (!B A)))"))
          )
          (instance IY_1_sqmuxa_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (!B A)))"))
          )
          (instance ACCB22_RNI6UCG1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (B !A)))"))
          )
          (instance ACCB22_RNIDEV81 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(C (B !A))"))
          )
          (instance IX_1_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (!B A)))"))
          )
          (instance SS_2_sqmuxa_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (C (!B A)))"))
          )
          (instance (rename state_cnst_0_8_1 "state_cnst_0_8[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D B+D (!C B+C (B+A)))"))
          )
          (instance (rename state_cnst_i_a9_7_0 "state_cnst_i_a9_7[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (B A)))"))
          )
          (instance (rename path_left_data_7_7 "path_left_data_7[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (B+!A))"))
          )
          (instance (rename path_left_data_i_m3_2_am_0 "path_left_data_i_m3_2_am[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename path_left_data_i_m3_2_bm_0 "path_left_data_i_m3_2_bm[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename path_left_data_i_m3_2_0 "path_left_data_i_m3_2[0]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename path_left_data_7_2 "path_left_data_7[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (B+!A))"))
          )
          (instance (rename path_left_data_7_am_3 "path_left_data_7_am[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename path_left_data_7_bm_3 "path_left_data_7_bm[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename path_left_data_7_3 "path_left_data_7[3]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename path_left_data_7_8 "path_left_data_7[8]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (B+!A))"))
          )
          (instance (rename path_left_data_7_14 "path_left_data_7[14]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (B+!A))"))
          )
          (instance (rename datamux_o_alu_in_right_path_data_i_m2_RNI7FNO_0 "datamux_o_alu_in_right_path_data_i_m2_RNI7FNO[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!B !A)"))
          )
          (instance (rename ACCA_RNI000M2_0 "ACCA_RNI000M2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A+C (!B+A))+D (C+(B+A)))"))
          )
          (instance (rename state_cnst_i_a9_8_4 "state_cnst_i_a9_8[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (!B A)))"))
          )
          (instance (rename path_left_data_6_1 "path_left_data_6[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename path_left_data_6_am_6 "path_left_data_6_am[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(C+(B+!A))"))
          )
          (instance (rename path_left_data_6_bm_6 "path_left_data_6_bm[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename path_left_data_6_6 "path_left_data_6[6]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename path_left_data_6_am_5 "path_left_data_6_am[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(C+(B+!A))"))
          )
          (instance (rename path_left_data_6_bm_5 "path_left_data_6_bm[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename path_left_data_6_5 "path_left_data_6[5]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance k_write_post_incdec_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (B !A))+D (!C B+C (B !A)))"))
          )
          (instance PC_1_sqmuxa_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A))"))
          )
          (instance cff_1_sqmuxa_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(C (!B A))"))
          )
          (instance (rename datamux_o_dest_reg_addr_RNI405O_0 "datamux_o_dest_reg_addr_RNI405O[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (B A)))"))
          )
          (instance (rename state_cnst_i_4_4 "state_cnst_i_4[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D C+D (C+(B !A)))"))
          )
          (instance (rename datamux_o_alu_in_right_path_data_i_1_0 "datamux_o_alu_in_right_path_data_i_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B+A)"))
          )
          (instance vff_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename path_left_data_6_RNO_3 "path_left_data_6_RNO[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D+(C+(!B+!A)))"))
          )
          (instance (rename path_left_data_am_RNO_4 "path_left_data_am_RNO[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (B A)))"))
          )
          (instance (rename path_left_data_5_am_7 "path_left_data_5_am[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename path_left_data_5_bm_7 "path_left_data_5_bm[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename path_left_data_5_7 "path_left_data_5[7]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename path_left_data_5_am_9 "path_left_data_5_am[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename path_left_data_5_bm_9 "path_left_data_5_bm[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename path_left_data_5_9 "path_left_data_5[9]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename path_left_data_5_am_10 "path_left_data_5_am[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename path_left_data_5_bm_10 "path_left_data_5_bm[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename path_left_data_5_10 "path_left_data_5[10]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename path_left_data_5_am_11 "path_left_data_5_am[11]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename path_left_data_5_bm_11 "path_left_data_5_bm[11]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename path_left_data_5_11 "path_left_data_5[11]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename path_left_data_5_am_12 "path_left_data_5_am[12]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename path_left_data_5_bm_12 "path_left_data_5_bm[12]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename path_left_data_5_12 "path_left_data_5[12]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename path_left_data_5_am_13 "path_left_data_5_am[13]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename path_left_data_5_bm_13 "path_left_data_5_bm[13]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename path_left_data_5_13 "path_left_data_5[13]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename path_left_data_5_am_15 "path_left_data_5_am[15]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename path_left_data_5_bm_15 "path_left_data_5_bm[15]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename path_left_data_5_15 "path_left_data_5[15]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename path_left_data_5_bm_1 "path_left_data_5_bm[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename path_left_data_5_am_2 "path_left_data_5_am[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename path_left_data_5_bm_2 "path_left_data_5_bm[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename path_left_data_5_2 "path_left_data_5[2]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename path_left_data_5_5 "path_left_data_5[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename path_left_data_5_6 "path_left_data_5[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename path_left_data_5_am_8 "path_left_data_5_am[8]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename path_left_data_5_bm_8 "path_left_data_5_bm[8]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename path_left_data_5_8 "path_left_data_5[8]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename path_left_data_5_am_14 "path_left_data_5_am[14]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename path_left_data_5_bm_14 "path_left_data_5_bm[14]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename path_left_data_5_14 "path_left_data_5[14]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename datamux_o_alu_in_left_path_addr_1_0_RNIVRLE4_1 "datamux_o_alu_in_left_path_addr_1_0_RNIVRLE4[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (!B !A))+D (!C (B+!A)))"))
          )
          (instance (rename datamux_o_alu_in_right_path_data_i_m2_0 "datamux_o_alu_in_right_path_data_i_m2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C+(B+A))+D (C (B+A)))"))
          )
          (instance (rename path_right_data_1 "path_right_data[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename path_right_data_6 "path_right_data[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename path_right_data_5 "path_right_data[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance un75_RNIMUUQ (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(C (B+A))"))
          )
          (instance un75_RNIM9IC2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D+(!C (B+A)+C A))"))
          )
          (instance (rename state_23_iv_1_3 "state_23_iv_1[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C (B+A))"))
          )
          (instance (rename state_23_iv_0_1 "state_23_iv_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))"))
          )
          (instance (rename path_left_data_4_6 "path_left_data_4[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(C+(B+!A))"))
          )
          (instance (rename path_left_data_4_5 "path_left_data_4[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(C+(B+!A))"))
          )
          (instance (rename path_left_data_4_1 "path_left_data_4[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(C+(B+!A))"))
          )
          (instance (rename state_cnst_0_o2_1_2 "state_cnst_0_o2_1[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D+(C (!B A)))"))
          )
          (instance (rename datamux_o_dest_reg_addr_2 "datamux_o_dest_reg_addr[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance (rename datamux_o_dest_reg_addr_0 "datamux_o_dest_reg_addr[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B+A)+C (!B A))"))
          )
          (instance eamem_addr_axb_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A+C (!B A+B !A))+D (!C+(!B A+B !A)))"))
          )
          (instance ACCB22 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D C+D (C+(!B+!A)))"))
          )
          (instance SS_2_sqmuxa_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (!B !A)))"))
          )
          (instance un1_k_pp_regs_1_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D C+D (C+(!B A)))"))
          )
          (instance (rename un1_eapostbyte_9_1_o2_8 "un1_eapostbyte_9_1_o2[8]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D A+D (C+(B+A)))"))
          )
          (instance (rename path_left_data_2_7 "path_left_data_2[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename path_left_data_i_m3_1_0 "path_left_data_i_m3_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename path_left_data_2_9 "path_left_data_2[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename path_left_data_2_10 "path_left_data_2[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename path_left_data_2_11 "path_left_data_2[11]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename path_left_data_2_12 "path_left_data_2[12]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename path_left_data_2_13 "path_left_data_2[13]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename path_left_data_2_15 "path_left_data_2[15]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename path_left_data_2_2 "path_left_data_2[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename path_left_data_2_3 "path_left_data_2[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename path_left_data_3_3 "path_left_data_3[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename path_left_data_1_3 "path_left_data_1[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename path_left_data_2_4 "path_left_data_2[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename path_left_data_3_4 "path_left_data_3[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename path_left_data_1_4 "path_left_data_1[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename path_left_data_2_5 "path_left_data_2[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename path_left_data_3_5 "path_left_data_3[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename path_left_data_1_5 "path_left_data_1[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename path_left_data_2_6 "path_left_data_2[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename path_left_data_3_6 "path_left_data_3[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename path_left_data_1_6 "path_left_data_1[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename path_left_data_2_8 "path_left_data_2[8]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename path_left_data_2_14 "path_left_data_2[14]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename path_left_data_0_7 "path_left_data_0[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename path_left_data_0_1 "path_left_data_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename path_left_data_0_3 "path_left_data_0[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename path_left_data_0_4 "path_left_data_0[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename path_left_data_0_6 "path_left_data_0[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename path_left_data_0_5 "path_left_data_0[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename datamux_o_alu_in_left_path_addr_1_1 "datamux_o_alu_in_left_path_addr_1[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B+A)+C (!B A))"))
          )
          (instance (rename state_23_iv_RNO_2_0 "state_23_iv_RNO_2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (C (!B !A)))"))
          )
          (instance (rename datamux_o_dest_reg_addr_3 "datamux_o_dest_reg_addr[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (B !A)+D (C (B !A)))"))
          )
          (instance (rename datamux_o_dest_reg_addr_1 "datamux_o_dest_reg_addr[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B+A)+C (!B A))"))
          )
          (instance state119 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (!B !A)))"))
          )
          (instance un1_k_pp_regs_2_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D B+D (!C (B+A)+C B))"))
          )
          (instance next_state14_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!B+A)"))
          )
          (instance (rename datamux_o_dest_reg_addr_0_2 "datamux_o_dest_reg_addr_0[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename datamux_o_dest_reg_addr_0_0 "datamux_o_dest_reg_addr_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance k_pp_regs_6_sqmuxa_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A))"))
          )
          (instance (rename state_cnst_0_5_3 "state_cnst_0_5[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D+(C+(B+A)))"))
          )
          (instance (rename state_cnst_0_7_1 "state_cnst_0_7[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D+(C (B A)))"))
          )
          (instance (rename un1_eapostbyte_9_6_am_2 "un1_eapostbyte_9_6_am[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A)+C (B+!A))+D C)"))
          )
          (instance (rename un1_eapostbyte_9_6_bm_2 "un1_eapostbyte_9_6_bm[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance (rename un1_eapostbyte_9_6_2 "un1_eapostbyte_9_6[2]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename un1_eapostbyte_9_6_am_0 "un1_eapostbyte_9_6_am[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A)+C (B+!A))+D C)"))
          )
          (instance (rename un1_eapostbyte_9_6_bm_0 "un1_eapostbyte_9_6_bm[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance (rename un1_eapostbyte_9_6_0 "un1_eapostbyte_9_6[0]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename un1_eapostbyte_9_6_am_6 "un1_eapostbyte_9_6_am[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A)+C (B+!A))+D C)"))
          )
          (instance (rename un1_eapostbyte_9_6_bm_6 "un1_eapostbyte_9_6_bm[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance (rename un1_eapostbyte_9_6_6 "un1_eapostbyte_9_6[6]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance cff_6_i_m2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename datamux_o_alu_in_left_path_addr_1_0_am_1 "datamux_o_alu_in_left_path_addr_1_0_am[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!B+A)"))
          )
          (instance (rename datamux_o_alu_in_left_path_addr_1_0_1 "datamux_o_alu_in_left_path_addr_1_0[1]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename datamux_o_alu_in_left_path_addr_1_0 "datamux_o_alu_in_left_path_addr_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D A+D (!C (B A)+C (!B+A)))"))
          )
          (instance (rename datamux_o_alu_in_left_path_addr_1_d_RNI7FPN3_2 "datamux_o_alu_in_left_path_addr_1_d_RNI7FPN3[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D A+D (!C (B A)+C (!B+A)))"))
          )
          (instance state120_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B !A)"))
          )
          (instance un75 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(C+(B+A))"))
          )
          (instance un1_k_pp_regs_3_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C B+C (B+A))+D B)"))
          )
          (instance (rename state_cnst_i_a9_1_0 "state_cnst_i_a9_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B !A)+C B))"))
          )
          (instance (rename path_right_data_0_4 "path_right_data_0[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename path_right_data_0_1 "path_right_data_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename path_right_data_0_2 "path_right_data_0[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename datamux_o_dest_reg_addr_0_1 "datamux_o_dest_reg_addr_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C+A)+D B)"))
          )
          (instance (rename path_right_data_0_7 "path_right_data_0[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename path_right_data_0_6 "path_right_data_0[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename path_right_data_0_5 "path_right_data_0[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename path_right_data_0_3 "path_right_data_0[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance k_pp_regs_5_sqmuxa_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (B !A)))"))
          )
          (instance (rename state_cnst_0_a9_3_3 "state_cnst_0_a9_3[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (B A)))"))
          )
          (instance state_2_sqmuxa_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A)))"))
          )
          (instance (rename state_cnst_0_5_2 "state_cnst_0_5[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C (B+A))"))
          )
          (instance (rename state_cnst_0_6_1 "state_cnst_0_6[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B+A)+C B)+D B)"))
          )
          (instance (rename un1_PC_1_13 "un1_PC_1[13]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B+A)+C B)+D (C+(B+A)))"))
          )
          (instance (rename un1_PC_1_15 "un1_PC_1[15]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B+A)+C B)+D (C+(B+A)))"))
          )
          (instance (rename un1_PC_1_10 "un1_PC_1[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B+A)+C B)+D (C+(B+A)))"))
          )
          (instance (rename un1_PC_1_11 "un1_PC_1[11]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B+A)+C B)+D (C+(B+A)))"))
          )
          (instance (rename un1_PC_1_1_12 "un1_PC_1_1[12]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B+A)+C B)+D (C+(B+A)))"))
          )
          (instance state_1_sqmuxa_8_RNIAT1N5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!B A)+D (C (!B A)))"))
          )
          (instance un1_k_cpu_addr_2_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (B A))+D C)"))
          )
          (instance (rename un1_eapostbyte_9_1_a4_2_8 "un1_eapostbyte_9_1_a4_2[8]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C A)+D (C (B A)))"))
          )
          (instance (rename un1_eapostbyte_9_0_1_7 "un1_eapostbyte_9_0_1[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C (B+A))"))
          )
          (instance (rename un1_eapostbyte_9_0_1_4 "un1_eapostbyte_9_0_1[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C (B+A))"))
          )
          (instance (rename un1_eapostbyte_9_0_1_5 "un1_eapostbyte_9_0_1[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C (B+A))"))
          )
          (instance (rename un1_eapostbyte_9_1_0_15 "un1_eapostbyte_9_1_0[15]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))"))
          )
          (instance (rename un1_eapostbyte_9_1_0_11 "un1_eapostbyte_9_1_0[11]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))"))
          )
          (instance (rename un1_eapostbyte_9_1_0_12 "un1_eapostbyte_9_1_0[12]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))"))
          )
          (instance (rename un1_eapostbyte_9_1_0_13 "un1_eapostbyte_9_1_0[13]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))"))
          )
          (instance (rename un1_eapostbyte_9_1_0_8 "un1_eapostbyte_9_1_0[8]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))"))
          )
          (instance (rename un1_eapostbyte_9_1_0_10 "un1_eapostbyte_9_1_0[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))"))
          )
          (instance (rename un1_eapostbyte_9_1_0_14 "un1_eapostbyte_9_1_0[14]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))"))
          )
          (instance (rename un1_eapostbyte_9_1_0_9 "un1_eapostbyte_9_1_0[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))"))
          )
          (instance un1_state_74_RNIF6082 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C A+C (B A)))"))
          )
          (instance (rename un1_PC_1_0_i_m3_14 "un1_PC_1_0_i_m3[14]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance (rename un1_PC_1_0_i_m3_9 "un1_PC_1_0_i_m3[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance (rename un1_PC_1_0_8 "un1_PC_1_0[8]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance (rename un1_PC_1_0_7 "un1_PC_1_0[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance (rename un1_PC_1_0_6 "un1_PC_1_0[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance (rename un1_PC_1_0_5 "un1_PC_1_0[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance (rename un1_PC_1_0_4 "un1_PC_1_0[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance (rename un1_PC_1_0_3 "un1_PC_1_0[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance (rename un1_PC_1_0_2 "un1_PC_1_0[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance (rename un1_PC_1_0_1 "un1_PC_1_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance (rename un1_PC_1_1_m2_0 "un1_PC_1_1_m2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance un1_k_pp_regs_6_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D C+D (C+(B !A)))"))
          )
          (instance un1_k_pp_regs_7_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C (B+A))"))
          )
          (instance (rename un1_eapostbyte_9_1_o4_0_8 "un1_eapostbyte_9_1_o4_0[8]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))"))
          )
          (instance (rename un1_eapostbyte_9_0_a2_0_3 "un1_eapostbyte_9_0_a2_0[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (B A)))"))
          )
          (instance (rename un1_eapostbyte_9_0_a2_1 "un1_eapostbyte_9_0_a2[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (B A)))"))
          )
          (instance nff_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance zff_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance SS_3_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A))"))
          )
          (instance un1_state_82 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D+(C+(B+A)))"))
          )
          (instance (rename un1_eapostbyte_9_0_0_7 "un1_eapostbyte_9_0_0[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))"))
          )
          (instance (rename un1_eapostbyte_9_0_0_4 "un1_eapostbyte_9_0_0[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))"))
          )
          (instance (rename un1_eapostbyte_9_0_0_5 "un1_eapostbyte_9_0_0[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))"))
          )
          (instance (rename un1_eapostbyte_9_0_2_1 "un1_eapostbyte_9_0_2[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D+(C+(B A)))"))
          )
          (instance (rename un1_eapostbyte_9_0_2_3 "un1_eapostbyte_9_0_2[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D+(C+(B A)))"))
          )
          (instance (rename state_cnst_0_4_2 "state_cnst_0_4[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D+(C+(B+A)))"))
          )
          (instance (rename state_cnst_i_3_4 "state_cnst_i_3[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(C+(B+A))"))
          )
          (instance (rename state_cnst_0_5_1 "state_cnst_0_5[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D+(C+(B+A)))"))
          )
          (instance un1_state_98_i_a4_RNIBST64 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(C (!B !A))"))
          )
          (instance (rename state_23_0_iv_0_4 "state_23_0_iv_0[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C !A)+D (!C !B+C (!B+!A)))"))
          )
          (instance (rename state_23_iv_0_3 "state_23_iv_0[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))"))
          )
          (instance (rename un1_eapostbyte_9_3_2 "un1_eapostbyte_9_3[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A)+C (B+!A))+D (B+!A))"))
          )
          (instance (rename un1_eapostbyte_9_3_6 "un1_eapostbyte_9_3[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A)+C (B+!A))+D (B+!A))"))
          )
          (instance (rename un1_eapostbyte_9_3_0 "un1_eapostbyte_9_3[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A)+C (B+!A))+D (B+!A))"))
          )
          (instance un1_state_23_1_RNI88JC4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (!B !A)+C !B))"))
          )
          (instance (rename un1_eapostbyte_9_1_a4_0_8 "un1_eapostbyte_9_1_a4_0[8]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A))"))
          )
          (instance (rename datamux_o_alu_in_right_path_data_i_a3_3_0 "datamux_o_alu_in_right_path_data_i_a3_3[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (C (B A)))"))
          )
          (instance datamux_o_alu_in_right_path_data_0_sqmuxa_i_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A))+D (C (B A)))"))
          )
          (instance (rename datamux_o_alu_in_right_path_data_cnst_i_o2_i_a2_1 "datamux_o_alu_in_right_path_data_cnst_i_o2_i_a2[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A)))"))
          )
          (instance (rename un1_eapostbyte_9_0_o2_4 "un1_eapostbyte_9_0_o2[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D+(C+(!B A)))"))
          )
          (instance un1_ea_reg_axb_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A+B !A)+C !A)"))
          )
          (instance (rename state_23_iv_RNO_3_0 "state_23_iv_RNO_3[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C+(!B+!A))+D (C+!B))"))
          )
          (instance k_pp_regs_7_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (!B !A)))"))
          )
          (instance k_pp_regs_6_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (!B A)))"))
          )
          (instance (rename datamux_o_alu_in_left_path_addr_cnst_0_a2_0 "datamux_o_alu_in_left_path_addr_cnst_0_a2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C !A+C (!B !A))+D (!B !A))"))
          )
          (instance (rename un1_eapostbyte_9_0_a4_2_1 "un1_eapostbyte_9_0_a4_2[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A))"))
          )
          (instance un1_datamux_o_alu_in_left_path_addr30_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (!B+A)+C !B)+D !B)"))
          )
          (instance un1_ea_reg_post18_5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D+(C+(B A)))"))
          )
          (instance un1_state_82_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D+(C+(B A)))"))
          )
          (instance (rename state_cnst_0_3_1 "state_cnst_0_3[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (B+A)+D (C+(B+A)))"))
          )
          (instance (rename state_23_iv_RNO_4_0 "state_23_iv_RNO_4[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C !A+C (!B !A)))"))
          )
          (instance (rename DP_RNIKUPB2_5 "DP_RNIKUPB2[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C+B)+D (!C A+C (B A)))"))
          )
          (instance (rename DP_RNIISPB2_4 "DP_RNIISPB2[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C+B)+D (!C A+C (B A)))"))
          )
          (instance (rename DP_RNIGOOB2_3 "DP_RNIGOOB2[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C+B)+D (!C A+C (B A)))"))
          )
          (instance (rename DP_RNIEMOB2_2 "DP_RNIEMOB2[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C+B)+D (!C A+C (B A)))"))
          )
          (instance (rename DP_RNIAIOB2_0 "DP_RNIAIOB2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C+B)+D (!C A+C (B A)))"))
          )
          (instance hflag_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename k_new_pc_17_2 "k_new_pc_17[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename k_new_pc_17_4 "k_new_pc_17[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename k_new_pc_17_5 "k_new_pc_17[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename k_new_pc_17_6 "k_new_pc_17[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename k_new_pc_17_am_9 "k_new_pc_17_am[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (B+!A))"))
          )
          (instance (rename k_new_pc_17_bm_9 "k_new_pc_17_bm[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance (rename k_new_pc_17_9 "k_new_pc_17[9]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename k_new_pc_17_am_10 "k_new_pc_17_am[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (B+!A))"))
          )
          (instance (rename k_new_pc_17_bm_10 "k_new_pc_17_bm[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance (rename k_new_pc_17_10 "k_new_pc_17[10]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename k_new_pc_17_am_11 "k_new_pc_17_am[11]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (B+!A))"))
          )
          (instance (rename k_new_pc_17_bm_11 "k_new_pc_17_bm[11]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance (rename k_new_pc_17_11 "k_new_pc_17[11]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename k_new_pc_17_am_13 "k_new_pc_17_am[13]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (B+!A))"))
          )
          (instance (rename k_new_pc_17_bm_13 "k_new_pc_17_bm[13]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance (rename k_new_pc_17_13 "k_new_pc_17[13]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename k_new_pc_17_i_m3_0 "k_new_pc_17_i_m3[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename k_new_pc_17_1 "k_new_pc_17[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename datamux_o_alu_in_left_path_addr_1_d_2 "datamux_o_alu_in_left_path_addr_1_d[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance (rename state_cnst_0_a9_5_0_RNIV5B83_2 "state_cnst_0_a9_5_0_RNIV5B83[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D B+D (!C (B+A)+C B))"))
          )
          (instance (rename state_cnst_0_a9_5_0_RNI822H3_2 "state_cnst_0_a9_5_0_RNI822H3[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))"))
          )
          (instance un1_k_pp_regs_7_sqmuxa_0_628 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D A+D (!C A+C (B+A)))"))
          )
          (instance k_p2_valid8_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!B A)"))
          )
          (instance SU_1_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B A)"))
          )
          (instance SU_0_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B !A)"))
          )
          (instance un1_state_82_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B+A)"))
          )
          (instance SU_0_sqmuxa_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B !A)"))
          )
          (instance SU_1_sqmuxa_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B A)"))
          )
          (instance k_p2_valid9_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B A)"))
          )
          (instance un1_state_71 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B+A)"))
          )
          (instance state_1_sqmuxa_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B !A)"))
          )
          (instance un1_dec_o_ea_ofs8_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D B+D (C (B A)))"))
          )
          (instance (rename un1_eapostbyte_9_0_o4_1 "un1_eapostbyte_9_0_o4[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C B+C (B !A)))"))
          )
          (instance k_pp_regs_3_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (!B A)))"))
          )
          (instance un1_state_24_1_RNICQBV1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (C (B A)))"))
          )
          (instance (rename state_cnst_0_a9_9_1 "state_cnst_0_a9_9[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (B A)))"))
          )
          (instance (rename datamux_o_alu_in_left_path_addr_cnst_i_a2_0_1 "datamux_o_alu_in_left_path_addr_cnst_i_a2_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C+!B)+D (!C !A+C (!B !A)))"))
          )
          (instance (rename un1_eapostbyte_9_0_0_1 "un1_eapostbyte_9_0_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A)+D (!C A+C (B !A)))"))
          )
          (instance (rename un1_eapostbyte_9_0_0_3 "un1_eapostbyte_9_0_0[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C B)+D (!C B+C (B !A)))"))
          )
          (instance (rename state_cnst_0_2_2 "state_cnst_0_2[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C+A)+D (C+(B+A)))"))
          )
          (instance (rename state_cnst_i_1_4 "state_cnst_i_1[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D B+D (!C (B+!A)+C B))"))
          )
          (instance un1_state_24_1_RNIF9CB2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D B+D (!C (B !A)+C B))"))
          )
          (instance (rename state_23_iv_RNO_7_0 "state_23_iv_RNO_7[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (!B A))+D (!C !B))"))
          )
          (instance (rename un1_eapostbyte_9_1_a4_8 "un1_eapostbyte_9_1_a4[8]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (C (!B A)))"))
          )
          (instance datamux_o_alu_in_right_path_data_0_sqmuxa_i_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C+(!B !A)))"))
          )
          (instance (rename un1_eapostbyte_9_0_a4_4_1 "un1_eapostbyte_9_0_a4_4[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C (!B A)))"))
          )
          (instance un1_state_33 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (C (B A)))"))
          )
          (instance un1_state_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (C (B A)))"))
          )
          (instance un1_k_pp_regs_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B+!A)"))
          )
          (instance (rename ea_reg_3_am_15 "ea_reg_3_am[15]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename ea_reg_3_bm_15 "ea_reg_3_bm[15]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename ea_reg_3_15 "ea_reg_3[15]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename ea_reg_3_am_14 "ea_reg_3_am[14]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename ea_reg_3_bm_14 "ea_reg_3_bm[14]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename ea_reg_3_14 "ea_reg_3[14]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename ea_reg_3_am_13 "ea_reg_3_am[13]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename ea_reg_3_bm_13 "ea_reg_3_bm[13]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename ea_reg_3_13 "ea_reg_3[13]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename ea_reg_3_i_m3_am_12 "ea_reg_3_i_m3_am[12]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename ea_reg_3_i_m3_bm_12 "ea_reg_3_i_m3_bm[12]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename ea_reg_3_i_m3_12 "ea_reg_3_i_m3[12]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename ea_reg_3_am_11 "ea_reg_3_am[11]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename ea_reg_3_bm_11 "ea_reg_3_bm[11]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename ea_reg_3_11 "ea_reg_3[11]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename ea_reg_3_am_10 "ea_reg_3_am[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename ea_reg_3_bm_10 "ea_reg_3_bm[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename ea_reg_3_10 "ea_reg_3[10]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename ea_reg_3_am_9 "ea_reg_3_am[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename ea_reg_3_bm_9 "ea_reg_3_bm[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename ea_reg_3_9 "ea_reg_3[9]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename ea_reg_3_am_8 "ea_reg_3_am[8]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename ea_reg_3_bm_8 "ea_reg_3_bm[8]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename ea_reg_3_8 "ea_reg_3[8]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename ea_reg_3_am_7 "ea_reg_3_am[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename ea_reg_3_bm_7 "ea_reg_3_bm[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename ea_reg_3_7 "ea_reg_3[7]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename ea_reg_3_am_6 "ea_reg_3_am[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename ea_reg_3_bm_6 "ea_reg_3_bm[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename ea_reg_3_6 "ea_reg_3[6]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename ea_reg_3_am_5 "ea_reg_3_am[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename ea_reg_3_bm_5 "ea_reg_3_bm[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename ea_reg_3_5 "ea_reg_3[5]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename ea_reg_3_am_4 "ea_reg_3_am[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename ea_reg_3_bm_4 "ea_reg_3_bm[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename ea_reg_3_4 "ea_reg_3[4]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename ea_reg_3_am_3 "ea_reg_3_am[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename ea_reg_3_bm_3 "ea_reg_3_bm[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename ea_reg_3_3 "ea_reg_3[3]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename ea_reg_3_am_2 "ea_reg_3_am[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename ea_reg_3_bm_2 "ea_reg_3_bm[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename ea_reg_3_2 "ea_reg_3[2]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename ea_reg_3_am_1 "ea_reg_3_am[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename ea_reg_3_bm_1 "ea_reg_3_bm[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename ea_reg_3_1 "ea_reg_3[1]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename ea_reg_3_i_m3_am_0 "ea_reg_3_i_m3_am[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename ea_reg_3_i_m3_bm_0 "ea_reg_3_i_m3_bm[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename ea_reg_3_i_m3_0 "ea_reg_3_i_m3[0]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename k_new_pc_17_i_m3_0_0 "k_new_pc_17_i_m3_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (!B A)+C (B+A))+D (!C (B+A)+C (!B A)))"))
          )
          (instance (rename k_new_pc_17_2_1 "k_new_pc_17_2[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance (rename k_new_pc_17_2_2 "k_new_pc_17_2[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance (rename k_new_pc_17_2_4 "k_new_pc_17_2[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance (rename k_new_pc_17_2_5 "k_new_pc_17_2[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance (rename k_new_pc_17_2_6 "k_new_pc_17_2[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance un1_datamux_o_alu_in_left_path_addr30_1_0_a0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D+(!C (!B+A)+C (B+A)))"))
          )
          (instance un1_state_62 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (B A)))"))
          )
          (instance (rename ea_reg_post18_0 "ea_reg_post18[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (!B A)))"))
          )
          (instance (rename ea_reg_post17_0 "ea_reg_post17[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (!B !A)))"))
          )
          (instance (rename ea_reg_post15_0 "ea_reg_post15[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (!B !A)))"))
          )
          (instance state160lto7_4_RNISEVF2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (!B !A)))"))
          )
          (instance un1_k_pp_regs_7_sqmuxa_0_628_a3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (C (!B !A)))"))
          )
          (instance datamux_o_alu_in_left_path_addr27 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B A)"))
          )
          (instance state234 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (C (B !A)))"))
          )
          (instance datamux_o_alu_in_left_path_addr24 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (C (!B !A)))"))
          )
          (instance un1_k_p2_valid9_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A)))"))
          )
          (instance un1_state_59 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (B A)))"))
          )
          (instance state160lto7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D+(C+(B+!A)))"))
          )
          (instance un1_state_98_i_a4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A)+C A))"))
          )
          (instance (rename state_cnst_0_a9_2_2 "state_cnst_0_a9_2[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (!B A)))"))
          )
          (instance un1_eapostbyte_9_sn_m13_0_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C B+C (!B A+B !A))+D (!C (B A)+C (!B A)))"))
          )
          (instance datamux_o_alu_in_right_path_data_0_sqmuxa_i_a2_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (!B A)+C (!B !A)))"))
          )
          (instance (rename state_cnst_0_0_3 "state_cnst_0_0[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B !A))+D B)"))
          )
          (instance (rename state_cnst_0_1_3 "state_cnst_0_1[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B+A)+C A)+D A)"))
          )
          (instance (rename state_cnst_i_0_4 "state_cnst_i_0[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (!B A)+C (B A))+D (!C (!B A)))"))
          )
          (instance (rename state_cnst_0_0_1 "state_cnst_0_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!B A)+D (C !B))"))
          )
          (instance un1_eapostbyte_9_sn_m8_0_o2_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C+(B !A))"))
          )
          (instance un1_state_109_i_0_833 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C+(!B A+B !A))"))
          )
          (instance (rename un1_eapostbyte_9_0_a4_1 "un1_eapostbyte_9_0_a4[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(C (B !A))"))
          )
          (instance (rename state_cnst_0_a9_1_2 "state_cnst_0_a9_1[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (B !A)+C B))"))
          )
          (instance datamux_o_alu_in_left_path_addr25_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (!B !A)))"))
          )
          (instance datamux_o_alu_in_left_path_addr24_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (!B !A)))"))
          )
          (instance un1_k_pp_regs_7_sqmuxa_0_628_a3_0_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (!B !A)))"))
          )
          (instance datamux_o_alu_in_left_path_addr27_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (!B !A)))"))
          )
          (instance SU_1_sqmuxa_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(C (B !A))"))
          )
          (instance SS_1_sqmuxa_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(C (B A))"))
          )
          (instance (rename un1_eapostbyte_9_1_a4_1_8 "un1_eapostbyte_9_1_a4_1[8]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (C (!B !A)))"))
          )
          (instance datamux_o_alu_in_left_path_addr29_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B !A)))"))
          )
          (instance datamux_o_alu_in_left_path_addr26_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (!B !A)))"))
          )
          (instance datamux_o_alu_in_left_path_addr25_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (!B !A)))"))
          )
          (instance un1_k_pp_regs_6_sqmuxa_0_653_a3_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (!B A)))"))
          )
          (instance datamux_o_alu_in_left_path_addr23_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (!B !A)))"))
          )
          (instance datamux_o_alu_in_left_path_addr30_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (!B !A)))"))
          )
          (instance datamux_o_alu_in_left_path_addr27_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (!B !A)))"))
          )
          (instance (rename state54_2_0 "state54_2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (C (B !A)))"))
          )
          (instance un1_k_p2_valid9_0_a3_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (!B !A)))"))
          )
          (instance cff_6_i_a2_6_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (!B !A)))"))
          )
          (instance (rename DP_RNI4J051_0 "DP_RNI4J051[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename DP_RNI6L051_2 "DP_RNI6L051[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename k_ealo_7_3 "k_ealo_7[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C !B+C A)"))
          )
          (instance (rename k_ealo_7_2 "k_ealo_7[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C !B+C A)"))
          )
          (instance (rename k_ealo_7_1 "k_ealo_7[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C !B+C A)"))
          )
          (instance (rename DP_RNI8P151_4 "DP_RNI8P151[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename DP_RNI7M051_3 "DP_RNI7M051[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename DP_RNI9Q151_5 "DP_RNI9Q151[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename k_new_pc_17_i_m3_1_0 "k_new_pc_17_i_m3_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (B+!A))"))
          )
          (instance (rename k_new_pc_17_1_1 "k_new_pc_17_1[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (B+!A))"))
          )
          (instance (rename k_new_pc_17_1_2 "k_new_pc_17_1[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (B+!A))"))
          )
          (instance (rename k_new_pc_17_1_4 "k_new_pc_17_1[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (B+!A))"))
          )
          (instance (rename k_new_pc_17_1_5 "k_new_pc_17_1[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (B+!A))"))
          )
          (instance (rename k_new_pc_17_1_6 "k_new_pc_17_1[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (B+!A))"))
          )
          (instance (rename k_new_pc_17_1_9 "k_new_pc_17_1[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (B+!A))"))
          )
          (instance (rename k_new_pc_17_1_10 "k_new_pc_17_1[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (B+!A))"))
          )
          (instance (rename k_new_pc_17_1_11 "k_new_pc_17_1[11]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (B+!A))"))
          )
          (instance (rename k_new_pc_17_1_13 "k_new_pc_17_1[13]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (B+!A))"))
          )
          (instance state160lto7_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B+A)"))
          )
          (instance state160lto7_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B+A)"))
          )
          (instance un1_state_23_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B A)"))
          )
          (instance un1_state_15_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B A)"))
          )
          (instance un1_state_24_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B A)"))
          )
          (instance state_23_0_iv_352_o7_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B+A)"))
          )
          (instance un1_state_47_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B !A)"))
          )
          (instance un1_state_38_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B A)"))
          )
          (instance k_cpu_data_o_7_sn_m1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!B !A)"))
          )
          (instance IX_0_sqmuxa_0_o3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!B+A)"))
          )
          (instance state234_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!B !A)"))
          )
          (instance k_clear_e_3_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!B !A)"))
          )
          (instance un1_state_52_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B A)"))
          )
          (instance (rename ea_reg_post15_2_0 "ea_reg_post15_2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B !A)"))
          )
          (instance (rename ea_reg_post17_2_0 "ea_reg_post17_2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B A)"))
          )
          (instance (rename state_cnst_0_a9_5_0_2 "state_cnst_0_a9_5_0[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B A)"))
          )
          (instance state_2_sqmuxa_6_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!B A)"))
          )
          (instance k_write_post_incdec_6_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!B !A)"))
          )
          (instance un1_k_pp_regs_4_sqmuxa_0_705_a3_0_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!B A)"))
          )
          (instance datamux_o_alu_in_left_path_addr24_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!B A)"))
          )
          (instance un1_k_pp_regs_7_sqmuxa_0_628_a3_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B !A)"))
          )
          (instance cff_6_i_m2_1 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename path_left_data_6_3 "path_left_data_6[3]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename path_left_data_5_4 "path_left_data_5[4]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename path_left_data_7_5 "path_left_data_7[5]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename path_left_data_7_6 "path_left_data_7[6]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename un7_0_f1_0_1 "un7_0_f1_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (!B A)))"))
          )
          (instance un1_k_pp_regs_5_sqmuxa_0_679_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C+(!B A))+D (!C (!B A)+C !A))"))
          )
          (instance state160lto7_1_RNIGDLK3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (B A)+D (!C !A))"))
          )
          (instance un1_k_pp_regs_11_RNIVUM72 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C !B)+D (!C !A+C (!B+!A)))"))
          )
          (instance un1_k_pp_regs_7_sqmuxa_RNIFKAN2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B+!A))"))
          )
          (instance (rename state_23_iv_1_1_0 "state_23_iv_1_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))"))
          )
          (instance (rename state_23_iv_1_0 "state_23_iv_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C !B+C (!B+A))"))
          )
          (instance (rename state_cnst_0_10_1_1 "state_cnst_0_10_1[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (B+!A)+D (C (!B !A)))"))
          )
          (instance (rename state_cnst_0_10_1 "state_cnst_0_10[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A))+D (!C B))"))
          )
          (instance (rename path_left_data_7_1 "path_left_data_7[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C+(!B+A))+D (!C (B A)))"))
          )
          (instance (rename state_cnst_0_a9_5_0_RNI822H3_0_2 "state_cnst_0_a9_5_0_RNI822H3_0[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))"))
          )
          (instance state160lto7_1_RNIGDLK3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (B A)+D (!C !A))"))
          )
          (instance (rename state_cnst_0_a9_5_0_RNIV5B83_0_2 "state_cnst_0_a9_5_0_RNIV5B83_0[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D B+D (!C (B+A)+C B))"))
          )
          (instance (rename datamux_o_alu_in_left_path_addr_1_RNI208S7_1 "datamux_o_alu_in_left_path_addr_1_RNI208S7[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C B+C A)+D A)"))
          )
          (instance (rename datamux_o_alu_in_left_path_addr_cnst_0_a2_mb_3 "datamux_o_alu_in_left_path_addr_cnst_0_a2_mb[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C !A)"))
          )
          (instance datamux_o_alu_in_left_path_addr23_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B !A)"))
          )
          (instance datamux_o_alu_in_left_path_addr24_3_RNIOQR02 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (C (!B !A)))"))
          )
          (instance (rename path_left_data_i_m3_4_1_0 "path_left_data_i_m3_4_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C+(!B A))+D (!C (B+!A)))"))
          )
          (instance (rename path_left_data_i_m3_4_0 "path_left_data_i_m3_4[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C+(B+!A))+D (C (!B A)))"))
          )
          (instance (rename path_left_data_7_1_1_1 "path_left_data_7_1_1[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (!B+!A)+C (!B A+B !A))+D (!C !A+C (B !A)))"))
          )
          (instance (rename path_left_data_7_1_1 "path_left_data_7_1[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A+C (!B+!A))+D (C (!B+!A)))"))
          )
          (instance un75_RNIM9IC2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D+(!C (B+A)+C A))"))
          )
          (instance un1_k_pp_regs_7_sqmuxa_0_628_fast (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D A+D (!C A+C (B+A)))"))
          )
          (instance k_p2_valid8_0_a2_fast (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!B A)"))
          )
          (instance (rename datamux_o_alu_in_left_path_addr_cnst_0_a2_sn_sx_3 "datamux_o_alu_in_left_path_addr_cnst_0_a2_sn_sx[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D+(C+(B+A)))"))
          )
          (instance (rename datamux_o_alu_in_left_path_addr_cnst_0_a2_sn_3 "datamux_o_alu_in_left_path_addr_cnst_0_a2_sn[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (!B+!A)))"))
          )
          (instance datamux_o_alu_in_left_path_addr25_2_x (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B !A))"))
          )
          (instance (rename datamux_o_alu_in_left_path_addr_cnst_0_a2_rn_3 "datamux_o_alu_in_left_path_addr_cnst_0_a2_rn[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (B+!A)+D (!C (B+!A)))"))
          )
          (instance (rename path_left_data_6_RNO_4 "path_left_data_6_RNO[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(C (!B A))"))
          )
          (instance (rename path_left_data_6_4 "path_left_data_6[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (B A)+D C)"))
          )
          (instance (rename path_left_data_4_sx_7 "path_left_data_4_sx[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D+(!C (!B !A)+C (B+!A)))"))
          )
          (instance (rename path_left_data_4_7 "path_left_data_4[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(C+(B+!A))"))
          )
          (instance un1_datamux_o_alu_in_left_path_addr30_1_0_a0_RNI7APF1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B !A)+C B)+D B)"))
          )
          (instance (rename datamux_o_alu_in_left_path_addr_cnst_0_a2_rn_RNID94C2_3 "datamux_o_alu_in_left_path_addr_cnst_0_a2_rn_RNID94C2[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C B+C !A))"))
          )
          (instance (rename datamux_o_alu_in_left_path_addr_1_0_bm_1 "datamux_o_alu_in_left_path_addr_1_0_bm[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B !A)+C (B+A))+D C)"))
          )
          (instance datamux_o_alu_in_right_path_data_0_sqmuxa_i_1_sx (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C (B+A))"))
          )
          (instance (rename datamux_o_alu_in_left_path_addr_cnst_0_a2_x_0 "datamux_o_alu_in_left_path_addr_cnst_0_a2_x[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B+!A)+C !A)"))
          )
          (instance (rename datamux_o_alu_in_left_path_addr_1_d_0 "datamux_o_alu_in_left_path_addr_1_d[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B+A)+C B)+D (!C (!B A)))"))
          )
          (instance datamux_o_alu_in_left_path_addr25_1_x (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(C (!B !A))"))
          )
          (instance datamux_o_alu_in_left_path_addr25 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(C (!B A))"))
          )
          (instance datamux_o_alu_in_right_path_data_0_sqmuxa_i_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C !A+C (!B+!A))+D (C !B))"))
          )
          (instance datamux_o_alu_in_right_path_data_0_sqmuxa_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(C+(!B+!A))"))
          )
          (instance k_p2_valid9_0_a2_fast (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B A)"))
          )
          (instance datamux_o_alu_in_left_path_data6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A))+D (!C B))"))
          )
          (instance un1_k_pp_regs_4_sqmuxa_0_705_a3_0_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (!B !A)))"))
          )
          (instance un1_ea_reg_post18_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A))"))
          )
          (instance un1_state_74 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D+(C+(B+A)))"))
          )
          (instance un1_state_1_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B+A)+C A)"))
          )
          (instance (rename state_23_0_iv_i_4 "state_23_0_iv_i[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (!B !A))+D (!B !A))"))
          )
          (instance un1_SS_1_s_15_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x50A6"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x300A"))
          )
          (instance un1_SS_1_cry_13_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0xB0B4"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0xB0B4"))
          )
          (instance un1_SS_1_cry_11_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0xB0B4"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0xB0B4"))
          )
          (instance un1_SS_1_cry_9_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0xB0B4"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0xB0B4"))
          )
          (instance un1_SS_1_cry_7_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0xB0B4"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0xB0B4"))
          )
          (instance un1_SS_1_cry_5_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0xB0B4"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0xB0B4"))
          )
          (instance un1_SS_1_cry_3_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0xB0B4"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0xB0B4"))
          )
          (instance un1_SS_1_cry_1_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0xB0B4"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0xB0B4"))
          )
          (instance un1_SS_1_cry_0_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x300A"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x5056"))
          )
          (instance un1_data_w_1_s_15_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x506A"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x300A"))
          )
          (instance un1_data_w_1_cry_13_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x7078"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x7078"))
          )
          (instance un1_data_w_1_cry_11_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x7078"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x7078"))
          )
          (instance un1_data_w_1_cry_9_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x7078"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x7078"))
          )
          (instance un1_data_w_1_cry_7_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x7078"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x7078"))
          )
          (instance un1_data_w_1_cry_5_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x7078"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x7078"))
          )
          (instance un1_data_w_1_cry_3_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x7078"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x7078"))
          )
          (instance un1_data_w_1_cry_1_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x7078"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x7078"))
          )
          (instance un1_data_w_1_cry_0_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x300A"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x101E"))
          )
          (instance un1_ea_reg_s_15_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x5056"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x300A"))
          )
          (instance un1_ea_reg_cry_13_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x5056"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x5056"))
          )
          (instance un1_ea_reg_cry_11_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x5056"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x5056"))
          )
          (instance un1_ea_reg_cry_9_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x5056"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x5056"))
          )
          (instance un1_ea_reg_cry_7_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x5056"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x5056"))
          )
          (instance un1_ea_reg_cry_5_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x5056"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x5056"))
          )
          (instance un1_ea_reg_cry_3_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x5056"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x5056"))
          )
          (instance un1_ea_reg_cry_1_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x5056"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x5056"))
          )
          (instance un1_ea_reg_cry_0_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x300A"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x5056"))
          )
          (instance eamem_addr_s_15_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x5056"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x300A"))
          )
          (instance eamem_addr_cry_13_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x5056"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x111E"))
          )
          (instance eamem_addr_cry_11_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x5056"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x5056"))
          )
          (instance eamem_addr_cry_9_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x111E"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x5056"))
          )
          (instance eamem_addr_cry_7_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x111E"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0xDDD2"))
          )
          (instance eamem_addr_cry_5_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x111E"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x7B78"))
          )
          (instance eamem_addr_cry_3_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x111E"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0xDDD2"))
          )
          (instance eamem_addr_cry_1_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0xDDD2"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x7B78"))
          )
          (instance eamem_addr_cry_0_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x300A"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x7B78"))
          )
          (instance (rename PC_s_s1_0_15 "PC_s_s1_0[15]") (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x5002"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x300A"))
          )
          (instance (rename PC_cry_s1_0_13 "PC_cry_s1_0[13]") (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0xF002"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0xF002"))
          )
          (instance (rename PC_cry_s1_0_11 "PC_cry_s1_0[11]") (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0xF002"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0xF002"))
          )
          (instance (rename PC_cry_s1_0_9 "PC_cry_s1_0[9]") (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0xF002"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0xF002"))
          )
          (instance (rename PC_cry_s1_0_7 "PC_cry_s1_0[7]") (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0xF002"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0xF002"))
          )
          (instance (rename PC_cry_s1_0_5 "PC_cry_s1_0[5]") (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0xF002"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0xF002"))
          )
          (instance (rename PC_cry_s1_0_3 "PC_cry_s1_0[3]") (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0xF002"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0xF002"))
          )
          (instance (rename PC_cry_s1_0_1 "PC_cry_s1_0[1]") (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0xF002"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0xF002"))
          )
          (instance (rename PC_cry_s1_0_0 "PC_cry_s1_0[0]") (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x300A"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0xF002"))
          )
          (instance GND (viewRef PRIM (cellRef VLO (libraryRef LUCENT)))          )
          (instance VCC (viewRef PRIM (cellRef VHI (libraryRef LUCENT)))          )
          (net (rename regs_o_CCR_i_0 "regs_o_CCR_i[0]") (joined
           (portRef Z (instanceRef cff_RNIKCR3))
           (portRef (member regs_o_ccr_i 0))
          ))
          (net k_opcode_7_rep1 (joined
           (portRef k_opcode_7_rep1)
           (portRef B (instanceRef datamux_o_alu_in_left_path_data6_x))
          ))
          (net k_opcode_5_rep1 (joined
           (portRef k_opcode_5_rep1)
           (portRef D (instanceRef datamux_o_alu_in_left_path_data6_x))
          ))
          (net datamux_o_alu_in_left_path_data6_x (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_data6_x))
           (portRef datamux_o_alu_in_left_path_data6_x)
          ))
          (net k_write_post_incdec_6_0_0 (joined
           (portRef Z (instanceRef k_write_post_incdec_6_RNO))
           (portRef B (instanceRef k_write_post_incdec_6))
          ))
          (net GND (joined
           (portRef Z (instanceRef GND))
           (portRef D1 (instanceRef PC_cry_s1_0_0))
           (portRef C1 (instanceRef PC_cry_s1_0_0))
           (portRef B1 (instanceRef PC_cry_s1_0_0))
           (portRef D0 (instanceRef PC_cry_s1_0_0))
           (portRef C0 (instanceRef PC_cry_s1_0_0))
           (portRef A0 (instanceRef PC_cry_s1_0_0))
           (portRef D1 (instanceRef PC_cry_s1_0_1))
           (portRef C1 (instanceRef PC_cry_s1_0_1))
           (portRef B1 (instanceRef PC_cry_s1_0_1))
           (portRef D0 (instanceRef PC_cry_s1_0_1))
           (portRef C0 (instanceRef PC_cry_s1_0_1))
           (portRef B0 (instanceRef PC_cry_s1_0_1))
           (portRef D1 (instanceRef PC_cry_s1_0_3))
           (portRef C1 (instanceRef PC_cry_s1_0_3))
           (portRef B1 (instanceRef PC_cry_s1_0_3))
           (portRef D0 (instanceRef PC_cry_s1_0_3))
           (portRef C0 (instanceRef PC_cry_s1_0_3))
           (portRef B0 (instanceRef PC_cry_s1_0_3))
           (portRef D1 (instanceRef PC_cry_s1_0_5))
           (portRef C1 (instanceRef PC_cry_s1_0_5))
           (portRef B1 (instanceRef PC_cry_s1_0_5))
           (portRef D0 (instanceRef PC_cry_s1_0_5))
           (portRef C0 (instanceRef PC_cry_s1_0_5))
           (portRef B0 (instanceRef PC_cry_s1_0_5))
           (portRef D1 (instanceRef PC_cry_s1_0_7))
           (portRef C1 (instanceRef PC_cry_s1_0_7))
           (portRef B1 (instanceRef PC_cry_s1_0_7))
           (portRef D0 (instanceRef PC_cry_s1_0_7))
           (portRef C0 (instanceRef PC_cry_s1_0_7))
           (portRef B0 (instanceRef PC_cry_s1_0_7))
           (portRef D1 (instanceRef PC_cry_s1_0_9))
           (portRef C1 (instanceRef PC_cry_s1_0_9))
           (portRef B1 (instanceRef PC_cry_s1_0_9))
           (portRef D0 (instanceRef PC_cry_s1_0_9))
           (portRef C0 (instanceRef PC_cry_s1_0_9))
           (portRef B0 (instanceRef PC_cry_s1_0_9))
           (portRef D1 (instanceRef PC_cry_s1_0_11))
           (portRef C1 (instanceRef PC_cry_s1_0_11))
           (portRef B1 (instanceRef PC_cry_s1_0_11))
           (portRef D0 (instanceRef PC_cry_s1_0_11))
           (portRef C0 (instanceRef PC_cry_s1_0_11))
           (portRef B0 (instanceRef PC_cry_s1_0_11))
           (portRef D1 (instanceRef PC_cry_s1_0_13))
           (portRef C1 (instanceRef PC_cry_s1_0_13))
           (portRef B1 (instanceRef PC_cry_s1_0_13))
           (portRef D0 (instanceRef PC_cry_s1_0_13))
           (portRef C0 (instanceRef PC_cry_s1_0_13))
           (portRef B0 (instanceRef PC_cry_s1_0_13))
           (portRef D1 (instanceRef PC_s_s1_0_15))
           (portRef C1 (instanceRef PC_s_s1_0_15))
           (portRef B1 (instanceRef PC_s_s1_0_15))
           (portRef A1 (instanceRef PC_s_s1_0_15))
           (portRef D0 (instanceRef PC_s_s1_0_15))
           (portRef C0 (instanceRef PC_s_s1_0_15))
           (portRef B0 (instanceRef PC_s_s1_0_15))
           (portRef D0 (instanceRef eamem_addr_cry_0_0))
           (portRef C0 (instanceRef eamem_addr_cry_0_0))
           (portRef B0 (instanceRef eamem_addr_cry_0_0))
           (portRef A0 (instanceRef eamem_addr_cry_0_0))
           (portRef D1 (instanceRef eamem_addr_cry_9_0))
           (portRef D1 (instanceRef eamem_addr_cry_11_0))
           (portRef D0 (instanceRef eamem_addr_cry_11_0))
           (portRef D0 (instanceRef eamem_addr_cry_13_0))
           (portRef D1 (instanceRef eamem_addr_s_15_0))
           (portRef C1 (instanceRef eamem_addr_s_15_0))
           (portRef B1 (instanceRef eamem_addr_s_15_0))
           (portRef A1 (instanceRef eamem_addr_s_15_0))
           (portRef D0 (instanceRef eamem_addr_s_15_0))
           (portRef D1 (instanceRef un1_ea_reg_cry_0_0))
           (portRef D0 (instanceRef un1_ea_reg_cry_0_0))
           (portRef C0 (instanceRef un1_ea_reg_cry_0_0))
           (portRef B0 (instanceRef un1_ea_reg_cry_0_0))
           (portRef A0 (instanceRef un1_ea_reg_cry_0_0))
           (portRef D1 (instanceRef un1_ea_reg_cry_1_0))
           (portRef D0 (instanceRef un1_ea_reg_cry_1_0))
           (portRef D1 (instanceRef un1_ea_reg_cry_3_0))
           (portRef D0 (instanceRef un1_ea_reg_cry_3_0))
           (portRef D1 (instanceRef un1_ea_reg_cry_5_0))
           (portRef D0 (instanceRef un1_ea_reg_cry_5_0))
           (portRef D1 (instanceRef un1_ea_reg_cry_7_0))
           (portRef D0 (instanceRef un1_ea_reg_cry_7_0))
           (portRef D1 (instanceRef un1_ea_reg_cry_9_0))
           (portRef D0 (instanceRef un1_ea_reg_cry_9_0))
           (portRef D1 (instanceRef un1_ea_reg_cry_11_0))
           (portRef D0 (instanceRef un1_ea_reg_cry_11_0))
           (portRef D1 (instanceRef un1_ea_reg_cry_13_0))
           (portRef D0 (instanceRef un1_ea_reg_cry_13_0))
           (portRef D1 (instanceRef un1_ea_reg_s_15_0))
           (portRef C1 (instanceRef un1_ea_reg_s_15_0))
           (portRef B1 (instanceRef un1_ea_reg_s_15_0))
           (portRef A1 (instanceRef un1_ea_reg_s_15_0))
           (portRef D0 (instanceRef un1_ea_reg_s_15_0))
           (portRef D1 (instanceRef un1_data_w_1_cry_0_0))
           (portRef D0 (instanceRef un1_data_w_1_cry_0_0))
           (portRef C0 (instanceRef un1_data_w_1_cry_0_0))
           (portRef B0 (instanceRef un1_data_w_1_cry_0_0))
           (portRef A0 (instanceRef un1_data_w_1_cry_0_0))
           (portRef D1 (instanceRef un1_data_w_1_cry_1_0))
           (portRef D0 (instanceRef un1_data_w_1_cry_1_0))
           (portRef D1 (instanceRef un1_data_w_1_cry_3_0))
           (portRef D0 (instanceRef un1_data_w_1_cry_3_0))
           (portRef D1 (instanceRef un1_data_w_1_cry_5_0))
           (portRef D0 (instanceRef un1_data_w_1_cry_5_0))
           (portRef D1 (instanceRef un1_data_w_1_cry_7_0))
           (portRef D0 (instanceRef un1_data_w_1_cry_7_0))
           (portRef D1 (instanceRef un1_data_w_1_cry_9_0))
           (portRef D0 (instanceRef un1_data_w_1_cry_9_0))
           (portRef D1 (instanceRef un1_data_w_1_cry_11_0))
           (portRef D0 (instanceRef un1_data_w_1_cry_11_0))
           (portRef D1 (instanceRef un1_data_w_1_cry_13_0))
           (portRef D0 (instanceRef un1_data_w_1_cry_13_0))
           (portRef D1 (instanceRef un1_data_w_1_s_15_0))
           (portRef C1 (instanceRef un1_data_w_1_s_15_0))
           (portRef B1 (instanceRef un1_data_w_1_s_15_0))
           (portRef A1 (instanceRef un1_data_w_1_s_15_0))
           (portRef D0 (instanceRef un1_data_w_1_s_15_0))
           (portRef D1 (instanceRef un1_SS_1_cry_0_0))
           (portRef D0 (instanceRef un1_SS_1_cry_0_0))
           (portRef C0 (instanceRef un1_SS_1_cry_0_0))
           (portRef B0 (instanceRef un1_SS_1_cry_0_0))
           (portRef A0 (instanceRef un1_SS_1_cry_0_0))
           (portRef D1 (instanceRef un1_SS_1_cry_1_0))
           (portRef D0 (instanceRef un1_SS_1_cry_1_0))
           (portRef D1 (instanceRef un1_SS_1_cry_3_0))
           (portRef D0 (instanceRef un1_SS_1_cry_3_0))
           (portRef D1 (instanceRef un1_SS_1_cry_5_0))
           (portRef D0 (instanceRef un1_SS_1_cry_5_0))
           (portRef D1 (instanceRef un1_SS_1_cry_7_0))
           (portRef D0 (instanceRef un1_SS_1_cry_7_0))
           (portRef D1 (instanceRef un1_SS_1_cry_9_0))
           (portRef D0 (instanceRef un1_SS_1_cry_9_0))
           (portRef D1 (instanceRef un1_SS_1_cry_11_0))
           (portRef D0 (instanceRef un1_SS_1_cry_11_0))
           (portRef D1 (instanceRef un1_SS_1_cry_13_0))
           (portRef D0 (instanceRef un1_SS_1_cry_13_0))
           (portRef D1 (instanceRef un1_SS_1_s_15_0))
           (portRef C1 (instanceRef un1_SS_1_s_15_0))
           (portRef B1 (instanceRef un1_SS_1_s_15_0))
           (portRef A1 (instanceRef un1_SS_1_s_15_0))
           (portRef D0 (instanceRef un1_SS_1_s_15_0))
          ))
          (net (rename state_cnst_i_a9_8_0_0 "state_cnst_i_a9_8_0[0]") (joined
           (portRef Z (instanceRef state_23_iv_RNO_6_0))
           (portRef C (instanceRef state_23_iv_RNO_4_0))
          ))
          (net (rename state_o_c_0 "state_o_c[0]") (joined
           (portRef (member state_o_c 5))
           (portRef C (instanceRef un1_k_pp_regs_7_sqmuxa_0_628_fast))
           (portRef C (instanceRef un75_RNIM9IC2))
           (portRef D (instanceRef state160lto7_1_RNIGDLK3_0))
           (portRef D (instanceRef state160lto7_1_RNIGDLK3))
           (portRef C (instanceRef un1_k_pp_regs_5_sqmuxa_0_679_1))
           (portRef B (instanceRef un1_k_pp_regs_4_sqmuxa_0_705_a3_0_0_0))
           (portRef A (instanceRef state_2_sqmuxa_6_0))
           (portRef A (instanceRef state_cnst_0_a9_5_0_2))
           (portRef A (instanceRef un1_state_15_1))
           (portRef A (instanceRef un1_state_23_1))
           (portRef B (instanceRef k_ealo_7_1))
           (portRef D (instanceRef un1_k_pp_regs_6_sqmuxa_0_653_a3_0_1))
           (portRef A (instanceRef state_cnst_0_a9_1_2))
           (portRef A (instanceRef un1_state_109_i_0_833))
           (portRef C (instanceRef state_cnst_0_1_3))
           (portRef C (instanceRef un1_state_62))
           (portRef C (instanceRef state_cnst_i_1_4))
           (portRef C (instanceRef un1_k_pp_regs_7_sqmuxa_0_628))
           (portRef B (instanceRef state_cnst_i_a9_1_0))
           (portRef C (instanceRef un75_RNIM9IC2_0))
           (portRef D (instanceRef state_23_iv_RNO_0))
           (portRef D (instanceRef state_cnst_0_8_RNO_2))
           (portRef D (instanceRef un75_RNI5HS71))
           (portRef D (instanceRef state_cnst_0_8_RNO_0_2))
           (portRef B (instanceRef state234_3_RNI3S4G1))
           (portRef D (instanceRef state_cnst_0_5_RNO_3))
           (portRef B (instanceRef N_1809_i))
           (portRef D (instanceRef un1_state_38_1_RNIG1NG1))
           (portRef D (instanceRef state_cnst_i_a9_4_0))
           (portRef A (instanceRef state_cnst_i_a9_2_0))
           (portRef D (instanceRef state_2_sqmuxa_6_1))
           (portRef C (instanceRef state_cnst_i_a9_0_1_4))
           (portRef B (instanceRef un1_state_39_0))
           (portRef C (instanceRef state_23_iv_RNO_6_0))
          ))
          (net (rename state_o_c_1 "state_o_c[1]") (joined
           (portRef (member state_o_c 4))
           (portRef A (instanceRef k_cpu_data_o_7_sn_m1))
           (portRef A (instanceRef un1_state_47_2))
           (portRef A (instanceRef state_23_0_iv_352_o7_0))
           (portRef A (instanceRef un1_state_24_1))
           (portRef B (instanceRef un1_state_15_1))
           (portRef C (instanceRef DP_RNI9Q151_5))
           (portRef C (instanceRef DP_RNI7M051_3))
           (portRef C (instanceRef DP_RNI8P151_4))
           (portRef B (instanceRef k_ealo_7_2))
           (portRef C (instanceRef DP_RNI6L051_2))
           (portRef C (instanceRef DP_RNI4J051_0))
           (portRef A (instanceRef state_cnst_i_0_4))
           (portRef B (instanceRef state_cnst_0_a9_2_2))
           (portRef C (instanceRef state234))
           (portRef D (instanceRef state_cnst_0_6_1))
           (portRef C (instanceRef state_cnst_0_a9_3_3))
           (portRef C (instanceRef state_cnst_i_a9_1_0))
           (portRef D (instanceRef state_cnst_i_a9_7_0))
           (portRef D (instanceRef state_cnst_i_o2_2_0))
           (portRef D (instanceRef state_cnst_i_a9_10_0))
           (portRef A (instanceRef state_cnst_0_10_1_RNO_1))
           (portRef C (instanceRef state_cnst_0_8_RNO_2))
           (portRef A (instanceRef state234_3_RNI3S4G1))
           (portRef C (instanceRef state_cnst_0_5_RNO_3))
           (portRef D (instanceRef N_1809_i))
           (portRef C (instanceRef un1_state_38_1_RNIG1NG1))
           (portRef D (instanceRef state234_3_RNI13D91))
           (portRef A (instanceRef state_cnst_i_a9_4_0))
           (portRef C (instanceRef state_cnst_i_a9_2_0))
           (portRef B (instanceRef state_2_sqmuxa_6_1))
           (portRef D (instanceRef un1_state_39_0))
           (portRef B (instanceRef state_23_iv_RNO_6_0))
          ))
          (net un1_state_39_0 (joined
           (portRef Z (instanceRef un1_state_39_0))
           (portRef un1_state_39_0)
          ))
          (net (rename state_o_c_4 "state_o_c[4]") (joined
           (portRef (member state_o_c 1))
           (portRef D (instanceRef un75_RNIM9IC2))
           (portRef B (instanceRef state_2_sqmuxa_6_0))
           (portRef B (instanceRef un1_state_52_1))
           (portRef A (instanceRef k_clear_e_3_3))
           (portRef B (instanceRef k_cpu_data_o_7_sn_m1))
           (portRef B (instanceRef un1_state_38_1))
           (portRef C (instanceRef state_cnst_i_0_4))
           (portRef D (instanceRef state_cnst_0_1_3))
           (portRef C (instanceRef state_cnst_0_0_3))
           (portRef D (instanceRef state234))
           (portRef C (instanceRef un1_state_24_1_RNIF9CB2))
           (portRef D (instanceRef state_cnst_0_a9_9_1))
           (portRef D (instanceRef DP_RNIAIOB2_0))
           (portRef D (instanceRef DP_RNIEMOB2_2))
           (portRef D (instanceRef DP_RNIGOOB2_3))
           (portRef D (instanceRef DP_RNIISPB2_4))
           (portRef D (instanceRef DP_RNIKUPB2_5))
           (portRef D (instanceRef un75_RNIM9IC2_0))
           (portRef D (instanceRef state_cnst_0_8_1))
           (portRef C (instanceRef state_cnst_0_10_1_RNO_1))
           (portRef C (instanceRef state234_3_RNI3S4G1))
           (portRef A (instanceRef N_1809_i))
           (portRef A (instanceRef state_23_iv_RNO_5_0))
           (portRef C (instanceRef state234_3_RNI13D91))
           (portRef A (instanceRef state_cnst_i_1_RNO_4))
           (portRef C (instanceRef state_2_sqmuxa_6_1))
           (portRef B (instanceRef state_cnst_i_a9_0_1_4))
           (portRef C (instanceRef un1_state_39_0))
          ))
          (net (rename state_cnst_i_a9_0_4 "state_cnst_i_a9_0[4]") (joined
           (portRef Z (instanceRef state_cnst_i_a9_0_1_4))
           (portRef D (instanceRef state_cnst_i_a9_4))
           (portRef C (instanceRef state_cnst_i_a9_7_4))
          ))
          (net (rename state_o_c_5 "state_o_c[5]") (joined
           (portRef (member state_o_c 0))
           (portRef D (instanceRef un1_k_pp_regs_7_sqmuxa_0_628_fast))
           (portRef D (instanceRef un1_k_pp_regs_5_sqmuxa_0_679_1))
           (portRef B (instanceRef un1_k_pp_regs_7_sqmuxa_0_628_a3_0_1))
           (portRef B (instanceRef state_cnst_0_a9_5_0_2))
           (portRef B (instanceRef k_clear_e_3_3))
           (portRef B (instanceRef state234_3))
           (portRef B (instanceRef un1_state_47_2))
           (portRef C (instanceRef k_ealo_7_1))
           (portRef C (instanceRef k_ealo_7_2))
           (portRef C (instanceRef k_ealo_7_3))
           (portRef D (instanceRef state_cnst_0_a9_1_2))
           (portRef D (instanceRef state_cnst_0_0_1))
           (portRef D (instanceRef state_cnst_i_0_4))
           (portRef D (instanceRef state_cnst_0_0_3))
           (portRef D (instanceRef state_cnst_0_a9_2_2))
           (portRef D (instanceRef un1_state_98_i_a4))
           (portRef D (instanceRef un1_state_59))
           (portRef D (instanceRef un1_state_62))
           (portRef D (instanceRef state_23_iv_RNO_7_0))
           (portRef D (instanceRef un1_state_24_1_RNIF9CB2))
           (portRef D (instanceRef state_cnst_i_1_4))
           (portRef D (instanceRef un1_k_pp_regs_7_sqmuxa_0_628))
           (portRef D (instanceRef state_cnst_0_3_1))
           (portRef D (instanceRef state_23_iv_RNO_3_0))
           (portRef D (instanceRef state_cnst_0_a9_3_3))
           (portRef C (instanceRef un75_RNIMUUQ))
           (portRef A (instanceRef state_23_iv_RNO_0))
           (portRef C (instanceRef state_23_iv_RNO_1_0))
           (portRef C (instanceRef un75_RNI5HS71))
           (portRef C (instanceRef state_cnst_0_8_RNO_0_2))
           (portRef C (instanceRef state_cnst_i_1_RNO_4))
           (portRef A (instanceRef state_2_sqmuxa_6_1))
           (portRef A (instanceRef state_cnst_i_a9_0_1_4))
          ))
          (net state_2_sqmuxa_6_1 (joined
           (portRef Z (instanceRef state_2_sqmuxa_6_1))
           (portRef A (instanceRef state_cnst_0_5_2))
           (portRef B (instanceRef state_2_sqmuxa_6))
          ))
          (net (rename state_o_c_3 "state_o_c[3]") (joined
           (portRef (member state_o_c 2))
           (portRef A (instanceRef state234_3))
           (portRef A (instanceRef un1_state_38_1))
           (portRef C (instanceRef state_cnst_0_a9_1_2))
           (portRef C (instanceRef un1_state_109_i_0_833))
           (portRef C (instanceRef state_cnst_0_0_1))
           (portRef B (instanceRef state_cnst_i_0_4))
           (portRef B (instanceRef state_cnst_0_0_3))
           (portRef C (instanceRef un1_state_98_i_a4))
           (portRef D (instanceRef un1_state_15))
           (portRef D (instanceRef un1_state_33))
           (portRef C (instanceRef DP_RNIAIOB2_0))
           (portRef C (instanceRef DP_RNIEMOB2_2))
           (portRef C (instanceRef DP_RNIGOOB2_3))
           (portRef C (instanceRef DP_RNIISPB2_4))
           (portRef C (instanceRef DP_RNIKUPB2_5))
           (portRef D (instanceRef state_cnst_i_a9_1_0))
           (portRef A (instanceRef un1_state_15_1_RNIF2M41))
           (portRef D (instanceRef state_23_iv_RNO_1_0))
           (portRef D (instanceRef state_cnst_i_1_RNO_4))
           (portRef B (instanceRef state_cnst_i_a9_2_0))
           (portRef A (instanceRef un1_state_39_0))
          ))
          (net N_760 (joined
           (portRef Z (instanceRef state_cnst_i_a9_2_0))
           (portRef B (instanceRef state_23_iv_RNO_7_0))
           (portRef A (instanceRef state_cnst_0_3_1))
          ))
          (net (rename state_o_c_2 "state_o_c[2]") (joined
           (portRef (member state_o_c 3))
           (portRef A (instanceRef un1_state_52_1))
           (portRef B (instanceRef state_23_0_iv_352_o7_0))
           (portRef B (instanceRef un1_state_24_1))
           (portRef B (instanceRef un1_state_23_1))
           (portRef B (instanceRef k_ealo_7_3))
           (portRef B (instanceRef state_cnst_0_a9_1_2))
           (portRef B (instanceRef un1_state_109_i_0_833))
           (portRef B (instanceRef state_cnst_0_0_1))
           (portRef A (instanceRef state_cnst_0_0_3))
           (portRef C (instanceRef state_cnst_0_a9_2_2))
           (portRef C (instanceRef un1_state_59))
           (portRef C (instanceRef un1_state_15))
           (portRef C (instanceRef un1_state_33))
           (portRef C (instanceRef state_cnst_0_a9_9_1))
           (portRef C (instanceRef state_23_iv_RNO_3_0))
           (portRef B (instanceRef un1_state_15_1_RNIF2M41))
           (portRef C (instanceRef state_23_iv_RNO_0))
           (portRef C (instanceRef N_1809_i))
           (portRef C (instanceRef state_cnst_i_a9_4_0))
           (portRef A (instanceRef state_23_iv_RNO_6_0))
          ))
          (net N_762 (joined
           (portRef Z (instanceRef state_cnst_i_a9_4_0))
           (portRef A (instanceRef state_23_iv_RNO_4_0))
           (portRef A (instanceRef state_cnst_0_5_1))
          ))
          (net N_803 (joined
           (portRef Z (instanceRef state_cnst_i_1_RNO_4))
           (portRef B (instanceRef state_cnst_i_1_4))
          ))
          (net un1_state_35 (joined
           (portRef Z (instanceRef state234_3_RNI13D91))
           (portRef un1_state_35)
          ))
          (net (rename state54_2_0 "state54_2[0]") (joined
           (portRef Z (instanceRef state54_2_0))
           (portRef D (instanceRef state54_2_RNIC2741_0))
           (portRef A (instanceRef state54_2_RNIA0UG1_0))
          ))
          (net k_opcode_6_rep1 (joined
           (portRef k_opcode_6_rep1)
           (portRef C (instanceRef state54_2_RNIA0UG1_0))
           (portRef C (instanceRef datamux_o_alu_in_left_path_data6_x))
          ))
          (net k_opcode_3_rep1 (joined
           (portRef k_opcode_3_rep1)
           (portRef D (instanceRef state54_2_RNIA0UG1_0))
          ))
          (net (rename state54_0 "state54[0]") (joined
           (portRef Z (instanceRef state54_2_RNIA0UG1_0))
           (portRef (member state54 0))
          ))
          (net (rename state_cnst_i_a9_6_0_0 "state_cnst_i_a9_6_0[0]") (joined
           (portRef Z (instanceRef state_23_iv_RNO_5_0))
           (portRef A (instanceRef state_23_iv_RNO_3_0))
          ))
          (net un1_state_41 (joined
           (portRef Z (instanceRef un1_state_38_1_RNIG1NG1))
           (portRef un1_state_41)
          ))
          (net N_1809_i (joined
           (portRef Z (instanceRef N_1809_i))
           (portRef N_1809_i)
          ))
          (net (rename state_cnst_0_0_3 "state_cnst_0_0[3]") (joined
           (portRef Z (instanceRef state_cnst_0_0_3))
           (portRef A (instanceRef state_cnst_0_5_RNO_3))
          ))
          (net (rename state_cnst_0_2_3 "state_cnst_0_2[3]") (joined
           (portRef Z (instanceRef state_cnst_0_5_RNO_3))
           (portRef D (instanceRef state_cnst_0_5_3))
          ))
          (net un1_state_24_0 (joined
           (portRef un1_state_24_0)
           (portRef A (instanceRef un1_state_82_3_RNO))
          ))
          (net k_clear_e_3_2 (joined
           (portRef k_clear_e_3_2)
           (portRef C (instanceRef un1_state_82_3_RNO))
          ))
          (net N_1262_1 (joined
           (portRef Z (instanceRef un1_state_23_1))
           (portRef C (instanceRef un1_state_23_1_RNI88JC4))
           (portRef D (instanceRef un1_state_82_3_RNO))
           (portRef A (instanceRef state234_3_RNI13D91))
           (portRef N_1262_1)
          ))
          (net un1_state_82_1 (joined
           (portRef Z (instanceRef un1_state_82_3_RNO))
           (portRef D (instanceRef un1_state_82_3))
          ))
          (net un1_eapostbyte_9_sn_m13_0_0_0 (joined
           (portRef Z (instanceRef un1_eapostbyte_9_sn_m13_0_0_0))
           (portRef B (instanceRef un1_ea_reg_post18_5))
           (portRef A (instanceRef un1_eapostbyte_9_sn_m13_0_0))
          ))
          (net (rename k_ind_ea_7 "k_ind_ea[7]") (joined
           (portRef (member k_ind_ea 0))
           (portRef D (instanceRef un7_0_f1_0_1))
           (portRef B (instanceRef ea_reg_post17_2_0))
           (portRef B (instanceRef ea_reg_post15_2_0))
           (portRef C (instanceRef un1_eapostbyte_9_0_a4_1))
           (portRef C (instanceRef un1_eapostbyte_9_sn_m8_0_o2_0_o2))
           (portRef D (instanceRef un1_eapostbyte_9_1_a4_8))
           (portRef C (instanceRef un1_eapostbyte_9_0_0_3))
           (portRef C (instanceRef un1_eapostbyte_9_0_0_1))
           (portRef B (instanceRef un1_eapostbyte_9_0_o2_4))
           (portRef B (instanceRef un1_eapostbyte_9_6_bm_6))
           (portRef B (instanceRef un1_eapostbyte_9_6_bm_0))
           (portRef B (instanceRef un1_eapostbyte_9_6_bm_2))
           (portRef D (instanceRef un1_eapostbyte_9_1_o2_8))
           (portRef A (instanceRef un1_eapostbyte_6_0_a2))
           (portRef C (instanceRef un7_0_f1_2))
           (portRef B (instanceRef un1_eapostbyte_9_0_a4_4_RNI2U49_1))
           (portRef B (instanceRef un1_eapostbyte_9_sn_m13_0_0))
           (portRef A (instanceRef k_write_post_incdec_6_RNO))
          ))
          (net (rename k_ind_ea_3 "k_ind_ea[3]") (joined
           (portRef (member k_ind_ea 4))
           (portRef C (instanceRef un7_0_f1_0_1))
           (portRef B (instanceRef k_write_post_incdec_6_0))
           (portRef C (instanceRef un1_eapostbyte_9_1_a4_1_8))
           (portRef B (instanceRef un1_eapostbyte_9_0_a4_1))
           (portRef B (instanceRef un1_eapostbyte_9_sn_m8_0_o2_0_o2))
           (portRef D (instanceRef un1_eapostbyte_9_sn_m13_0_0_0))
           (portRef C (instanceRef ea_reg_post15_0))
           (portRef C (instanceRef ea_reg_post17_0))
           (portRef C (instanceRef ea_reg_post18_0))
           (portRef D (instanceRef un1_eapostbyte_9_0_a4_4_1))
           (portRef C (instanceRef un1_eapostbyte_9_1_a4_8))
           (portRef B (instanceRef un1_eapostbyte_9_0_0_3))
           (portRef B (instanceRef un1_eapostbyte_9_0_0_1))
           (portRef D (instanceRef un1_eapostbyte_9_0_o4_1))
           (portRef C (instanceRef un1_ea_reg_post18_5_1))
           (portRef D (instanceRef un1_eapostbyte_9_1_a4_2_8))
           (portRef B (instanceRef un1_eapostbyte_6_0_a2))
           (portRef A (instanceRef un7_0_f1_2))
           (portRef C (instanceRef un1_eapostbyte_9_0_a4_4_RNI2U49_1))
           (portRef C (instanceRef un1_eapostbyte_9_sn_m13_0_0))
           (portRef B (instanceRef k_write_post_incdec_6_RNO))
          ))
          (net (rename k_ind_ea_1 "k_ind_ea[1]") (joined
           (portRef (member k_ind_ea 6))
           (portRef A (instanceRef ea_reg_post17_2_0))
           (portRef A (instanceRef ea_reg_post15_2_0))
           (portRef B (instanceRef un1_eapostbyte_9_1_a4_1_8))
           (portRef A (instanceRef un1_eapostbyte_9_0_a4_1))
           (portRef A (instanceRef un1_eapostbyte_9_sn_m8_0_o2_0_o2))
           (portRef B (instanceRef un1_eapostbyte_9_sn_m13_0_0_0))
           (portRef B (instanceRef un1_eapostbyte_9_0_a4_4_1))
           (portRef B (instanceRef un1_eapostbyte_9_1_a4_8))
           (portRef A (instanceRef un1_eapostbyte_9_0_0_3))
           (portRef A (instanceRef un1_eapostbyte_9_0_0_1))
           (portRef B (instanceRef un1_eapostbyte_9_0_o4_1))
           (portRef D (instanceRef un1_dec_o_ea_ofs8_1))
           (portRef B (instanceRef un1_eapostbyte_9_1_a4_2_8))
           (portRef D (instanceRef un1_eapostbyte_6_0_a2))
           (portRef D (instanceRef un7_0_f1_2))
           (portRef D (instanceRef un1_eapostbyte_9_0_a4_4_RNI2U49_1))
           (portRef D (instanceRef un1_eapostbyte_9_sn_m13_0_0))
           (portRef B (instanceRef state_23_iv_RNO_5_0))
          ))
          (net N_646 (joined
           (portRef Z (instanceRef un1_eapostbyte_9_sn_m13_0_0))
           (portRef B1 (instanceRef eamem_addr_cry_0_0))
           (portRef B1 (instanceRef eamem_addr_cry_1_0))
           (portRef B1 (instanceRef eamem_addr_cry_5_0))
           (portRef C (instanceRef eamem_addr_axb_0))
          ))
          (net N_2044 (joined
           (portRef Z (instanceRef un1_eapostbyte_9_0_a4_4_1))
           (portRef A (instanceRef un1_eapostbyte_9_1_a4_0_8))
           (portRef B (instanceRef un1_eapostbyte_9_1_o4_0_8))
           (portRef A (instanceRef un1_eapostbyte_9_0_a4_4_RNI2U49_1))
          ))
          (net N_2045 (joined
           (portRef Z (instanceRef un1_eapostbyte_9_0_a4_4_RNI2U49_1))
           (portRef A (instanceRef un1_eapostbyte_9_0_2_3))
           (portRef A (instanceRef un1_eapostbyte_9_0_2_1))
           (portRef B (instanceRef un1_eapostbyte_9_0_0_5))
           (portRef B (instanceRef un1_eapostbyte_9_0_0_4))
           (portRef B (instanceRef un1_eapostbyte_9_0_0_7))
          ))
          (net (rename k_ind_ea_2 "k_ind_ea[2]") (joined
           (portRef (member k_ind_ea 5))
           (portRef B (instanceRef un7_0_f1_0_1))
           (portRef A (instanceRef k_write_post_incdec_6_0))
           (portRef C (instanceRef un1_eapostbyte_9_sn_m13_0_0_0))
           (portRef B (instanceRef ea_reg_post15_0))
           (portRef B (instanceRef ea_reg_post17_0))
           (portRef B (instanceRef ea_reg_post18_0))
           (portRef C (instanceRef un1_eapostbyte_9_0_a4_4_1))
           (portRef C (instanceRef un1_eapostbyte_9_0_o4_1))
           (portRef B (instanceRef un1_ea_reg_post18_5_1))
           (portRef B (instanceRef un1_eapostbyte_9_0_a4_2_1))
           (portRef B (instanceRef un1_eapostbyte_9_1_a4_0_8))
           (portRef A (instanceRef un1_eapostbyte_9_3_0))
           (portRef A (instanceRef un1_eapostbyte_9_3_6))
           (portRef A (instanceRef un1_eapostbyte_9_3_2))
           (portRef B (instanceRef un1_eapostbyte_9_0_a2_1))
           (portRef B (instanceRef un1_eapostbyte_9_0_a2_0_3))
           (portRef C (instanceRef un1_eapostbyte_9_1_a4_2_8))
           (portRef A (instanceRef un1_eapostbyte_9_6_bm_2))
           (portRef C (instanceRef un1_eapostbyte_6_0_a2))
           (portRef B (instanceRef un7_0_f1_2))
           (portRef C (instanceRef k_write_post_incdec_6_RNO))
          ))
          (net (rename un7_0_f1_2 "un7_0_f1[2]") (joined
           (portRef Z (instanceRef un7_0_f1_2))
           (portRef D (instanceRef un1_eapostbyte_9_0_2_3))
           (portRef D (instanceRef un1_eapostbyte_9_0_2_1))
          ))
          (net N_1243_2 (joined
           (portRef Z (instanceRef state234_3))
           (portRef B (instanceRef state234))
           (portRef A (instanceRef state_cnst_i_a9_7_0))
           (portRef B (instanceRef state_cnst_i_a9_10_0))
           (portRef D (instanceRef state_cnst_0_10_1_RNO_1))
           (portRef D (instanceRef state234_3_RNIAHQR1))
           (portRef D (instanceRef state234_3_RNI3S4G1))
           (portRef B (instanceRef state234_3_RNI13D91))
           (portRef N_1243_2)
          ))
          (net N_838 (joined
           (portRef Z (instanceRef state234_3_RNI3S4G1))
           (portRef A (instanceRef state_cnst_i_a9_8_4))
           (portRef B (instanceRef state_cnst_i_6_4))
           (portRef A (instanceRef state_cnst_0_a9_2))
          ))
          (net (rename state55_3_0 "state55_3[0]") (joined
           (portRef (member state55_3 0))
           (portRef A (instanceRef state54_2_RNIC2741_0))
          ))
          (net (rename k_opcode_7 "k_opcode[7]") (joined
           (portRef k_opcode_7)
           (portRef D (instanceRef datamux_o_alu_in_right_path_data_0_sqmuxa_i_a3))
           (portRef B (instanceRef state54_2_RNIC2741_0))
           (portRef B (instanceRef state54_2_RNIA0UG1_0))
          ))
          (net N_144 (joined
           (portRef N_144)
           (portRef C (instanceRef state54_2_RNIC2741_0))
          ))
          (net N_1245_2 (joined
           (portRef Z (instanceRef un1_state_38_1))
           (portRef A (instanceRef un1_state_59))
           (portRef B (instanceRef un1_state_62))
           (portRef C (instanceRef un1_state_15_1_RNIFNNC2))
           (portRef A (instanceRef un1_state_38_1_RNIG1NG1))
           (portRef N_1245_2)
          ))
          (net N_808_2 (joined
           (portRef N_808_2)
           (portRef B (instanceRef state_cnst_i_4_4))
           (portRef D (instanceRef un1_state_15_1_RNIFNNC2))
           (portRef B (instanceRef un1_state_38_1_RNIG1NG1))
          ))
          (net un1_state_74_1 (joined
           (portRef Z (instanceRef state234_3_RNIAHQR1))
           (portRef un1_state_74_1)
          ))
          (net un1_eapostbyte_6 (joined
           (portRef Z (instanceRef un1_eapostbyte_6_0_a2))
           (portRef B (instanceRef un1_PC_1_1_m2_0))
           (portRef B (instanceRef un1_PC_1_0_1))
           (portRef B (instanceRef un1_PC_1_0_2))
           (portRef B (instanceRef un1_PC_1_0_3))
           (portRef B (instanceRef un1_PC_1_0_4))
           (portRef B (instanceRef un1_PC_1_0_5))
           (portRef B (instanceRef un1_PC_1_0_6))
           (portRef B (instanceRef un1_PC_1_0_7))
           (portRef B (instanceRef un1_PC_1_0_8))
           (portRef B (instanceRef un1_PC_1_0_i_m3_9))
           (portRef B (instanceRef un1_PC_1_0_i_m3_14))
           (portRef C (instanceRef un1_PC_1_1_12))
           (portRef C (instanceRef un1_PC_1_11))
           (portRef C (instanceRef un1_PC_1_10))
           (portRef C (instanceRef un1_PC_1_15))
           (portRef C (instanceRef un1_PC_1_13))
          ))
          (net (rename next_mem_state_5 "next_mem_state[5]") (joined
           (portRef next_mem_state_5)
           (portRef A (instanceRef un1_state_15_RNIK6741))
          ))
          (net (rename next_mem_state_i_m_5 "next_mem_state_i_m[5]") (joined
           (portRef Z (instanceRef un1_state_15_RNIK6741))
           (portRef (member next_mem_state_i_m 0))
          ))
          (net un1_state_38 (joined
           (portRef un1_state_38)
           (portRef C (instanceRef un1_state_74_RNIF6082))
           (portRef A (instanceRef un1_dec_o_ea_ofs8_1_RNIC5IP1))
           (portRef A (instanceRef un1_state_15_1_RNIFNNC2))
          ))
          (net (rename next_mem_state_cnv_0_0 "next_mem_state_cnv_0[0]") (joined
           (portRef Z (instanceRef un1_dec_o_ea_ofs8_1_RNIC5IP1))
           (portRef (member next_mem_state_cnv_0 0))
          ))
          (net SU_1_sqmuxa_2 (joined
           (portRef Z (instanceRef SU_1_sqmuxa_2_0_a2))
           (portRef C (instanceRef un1_SU_3_sqmuxa))
           (portRef C (instanceRef un1_data_w_0_13))
           (portRef A (instanceRef SU_1_sqmuxa_2_0_a2_RNIP0H01))
          ))
          (net SU_1_sqmuxa (joined
           (portRef Z (instanceRef SU_1_sqmuxa))
           (portRef A1 (instanceRef un1_data_w_1_cry_0_0))
           (portRef A (instanceRef un1_SU_3_sqmuxa))
           (portRef B (instanceRef un1_data_w_0_13))
           (portRef A (instanceRef SU_RNO_0))
           (portRef B (instanceRef SU_1_sqmuxa_2_0_a2_RNIP0H01))
          ))
          (net SU_2_sqmuxa_1 (joined
           (portRef Z (instanceRef SU_1_sqmuxa_2_0_a2_RNIP0H01))
           (portRef B (instanceRef un1_data_w_0_15))
           (portRef B (instanceRef un1_data_w_0_14))
           (portRef B (instanceRef un1_data_w_0_12))
           (portRef B (instanceRef un1_data_w_0_11))
           (portRef B (instanceRef un1_data_w_0_10))
           (portRef B (instanceRef un1_data_w_0_9))
           (portRef B (instanceRef un1_data_w_0_8))
           (portRef B (instanceRef un1_data_w_0_7))
           (portRef B (instanceRef un1_data_w_0_6))
           (portRef B (instanceRef un1_data_w_0_5))
           (portRef B (instanceRef un1_data_w_0_4))
           (portRef B (instanceRef un1_data_w_0_3))
           (portRef B (instanceRef un1_data_w_0_2))
           (portRef B (instanceRef un1_data_w_0_1))
           (portRef B (instanceRef un1_data_w_i_m3_0_0))
          ))
          (net N_721 (joined
           (portRef N_721)
           (portRef A (instanceRef state_cnst_0_a9_2_2))
           (portRef A (instanceRef state_23_iv_RNO_7_0))
           (portRef A (instanceRef state_cnst_i_1_4))
           (portRef A (instanceRef un1_state_23_1_RNI88JC4))
           (portRef B (instanceRef state_cnst_0_8_RNO_0_2))
           (portRef B (instanceRef state_cnst_i_a9_4_0))
          ))
          (net N_788 (joined
           (portRef Z (instanceRef state_cnst_0_8_RNO_0_2))
           (portRef B (instanceRef state_cnst_0_8_2))
          ))
          (net (rename ACCB_0 "ACCB[0]") (joined
           (portRef Q (instanceRef ACCB_0))
           (portRef C (instanceRef path_left_data_i_m3_4_1_0))
           (portRef B (instanceRef un1_eapostbyte_9_6_am_0))
           (portRef D (instanceRef ACCA_RNI000M2_0))
           (portRef B (instanceRef path_left_data_i_m3_2_am_0))
           (portRef A (instanceRef ACCB_RNIP39B_0))
          ))
          (net N_1736 (joined
           (portRef Z (instanceRef un75_RNI5HS71))
           (portRef A (instanceRef un75_RNIM9IC2))
           (portRef A (instanceRef un75_RNIM9IC2_0))
          ))
          (net (rename next_push_state_i_m_1 "next_push_state_i_m[1]") (joined
           (portRef Z (instanceRef state_2_sqmuxa_6_RNI96LT))
           (portRef (member next_push_state_i_m 0))
          ))
          (net (rename dec_o_dest_reg_addr_3 "dec_o_dest_reg_addr[3]") (joined
           (portRef (member dec_o_dest_reg_addr 0))
           (portRef C0 (instanceRef cff_6_i_m2_1))
           (portRef C (instanceRef cff_6_i_m2_0))
           (portRef C (instanceRef datamux_o_dest_reg_addr_3))
           (portRef B (instanceRef ACCB22))
           (portRef B (instanceRef state_cnst_0_o2_1_2))
           (portRef D (instanceRef cff_6_i_a2_11_1))
           (portRef A (instanceRef un1_state_33_RNIRI5T2))
           (portRef D (instanceRef cff_6_i_a2_12_2_1))
           (portRef C (instanceRef cff_6_i_m2_2))
           (portRef B (instanceRef cff_6_i_a2_6))
           (portRef C (instanceRef cff_RNO_2))
           (portRef B (instanceRef state_23_iv_RNO_3))
           (portRef C (instanceRef state_23_iv_RNO_1))
           (portRef A (instanceRef datamux_o_alu_in_left_path_data6_RNI93IN))
          ))
          (net (rename next_state_4_m_1 "next_state_4_m[1]") (joined
           (portRef Z (instanceRef state_23_iv_RNO_1))
           (portRef A (instanceRef state_23_iv_1))
          ))
          (net un1_state_83 (joined
           (portRef un1_state_83)
           (portRef D (instanceRef state_23_iv_3_0))
           (portRef A (instanceRef state_23_iv_RNO_3))
           (portRef A (instanceRef state_23_iv_RNO_1))
          ))
          (net (rename dec_o_right_path_addr_0 "dec_o_right_path_addr[0]") (joined
           (portRef dec_o_right_path_addr_0)
           (portRef C (instanceRef datamux_o_alu_in_right_path_data_0_sqmuxa_i_1_1))
           (portRef A (instanceRef path_right_data_0_3))
           (portRef A (instanceRef path_right_data_0_5))
           (portRef A (instanceRef path_right_data_0_6))
           (portRef A (instanceRef path_right_data_0_7))
           (portRef A (instanceRef path_right_data_0_2))
           (portRef A (instanceRef path_right_data_0_1))
           (portRef A (instanceRef path_right_data_0_4))
           (portRef B (instanceRef next_state14_0))
           (portRef C (instanceRef state_23_iv_RNO_3))
           (portRef B (instanceRef datamux_o_alu_in_left_path_data6_RNI93IN))
          ))
          (net datamux_o_alu_in_left_path_data6 (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_data6))
           (portRef A (instanceRef next_state14_0))
           (portRef D (instanceRef state_23_iv_RNO_3))
           (portRef C (instanceRef datamux_o_alu_in_left_path_data6_RNI93IN))
           (portRef datamux_o_alu_in_left_path_data6)
          ))
          (net (rename next_state_4_m_3 "next_state_4_m[3]") (joined
           (portRef Z (instanceRef state_23_iv_RNO_3))
           (portRef A (instanceRef state_23_iv_3))
          ))
          (net N_783 (joined
           (portRef Z (instanceRef state_cnst_0_8_RNO_2))
           (portRef A (instanceRef state_cnst_0_8_2))
          ))
          (net N_733 (joined
           (portRef Z (instanceRef state_cnst_0_o2_1_2))
           (portRef A (instanceRef state_cnst_i_4_4))
           (portRef A (instanceRef k_write_post_incdec_6))
           (portRef B (instanceRef state_23_iv_RNO_1_0))
           (portRef B (instanceRef state_cnst_0_8_RNO_2))
           (portRef N_733)
          ))
          (net N_757 (joined
           (portRef Z (instanceRef state_23_iv_RNO_1_0))
           (portRef A (instanceRef state_23_iv_RNO_0_0))
          ))
          (net ACCB22 (joined
           (portRef Z (instanceRef ACCB22))
           (portRef B (instanceRef ACCB22_RNIDEV81))
           (portRef B (instanceRef ACCB22_RNI6UCG1))
           (portRef C (instanceRef SU_1_sqmuxa_3))
           (portRef A (instanceRef un1_write_reg_addr_4_RNI048L))
           (portRef A (instanceRef datamux_o_dest_reg_addr_0_RNIPAPU_1))
           (portRef A (instanceRef datamux_o_dest_reg_addr_0_RNIO9PU_0))
          ))
          (net use_s_1 (joined
           (portRef use_s_1)
           (portRef A1 (instanceRef un1_data_w_1_cry_1_0))
           (portRef A0 (instanceRef un1_data_w_1_cry_1_0))
           (portRef A1 (instanceRef un1_data_w_1_cry_3_0))
           (portRef A0 (instanceRef un1_data_w_1_cry_3_0))
           (portRef A1 (instanceRef un1_data_w_1_cry_5_0))
           (portRef A0 (instanceRef un1_data_w_1_cry_5_0))
           (portRef A1 (instanceRef un1_data_w_1_cry_7_0))
           (portRef A0 (instanceRef un1_data_w_1_cry_7_0))
           (portRef A1 (instanceRef un1_data_w_1_cry_9_0))
           (portRef A0 (instanceRef un1_data_w_1_cry_9_0))
           (portRef A1 (instanceRef un1_data_w_1_cry_11_0))
           (portRef A0 (instanceRef un1_data_w_1_cry_11_0))
           (portRef A1 (instanceRef un1_data_w_1_cry_13_0))
           (portRef A0 (instanceRef un1_data_w_1_cry_13_0))
           (portRef C0 (instanceRef un1_data_w_1_s_15_0))
           (portRef A1 (instanceRef un1_SS_1_cry_1_0))
           (portRef A0 (instanceRef un1_SS_1_cry_1_0))
           (portRef A1 (instanceRef un1_SS_1_cry_3_0))
           (portRef A0 (instanceRef un1_SS_1_cry_3_0))
           (portRef A1 (instanceRef un1_SS_1_cry_5_0))
           (portRef A0 (instanceRef un1_SS_1_cry_5_0))
           (portRef A1 (instanceRef un1_SS_1_cry_7_0))
           (portRef A0 (instanceRef un1_SS_1_cry_7_0))
           (portRef A1 (instanceRef un1_SS_1_cry_9_0))
           (portRef A0 (instanceRef un1_SS_1_cry_9_0))
           (portRef A1 (instanceRef un1_SS_1_cry_11_0))
           (portRef A0 (instanceRef un1_SS_1_cry_11_0))
           (portRef A1 (instanceRef un1_SS_1_cry_13_0))
           (portRef A0 (instanceRef un1_SS_1_cry_13_0))
           (portRef C0 (instanceRef un1_SS_1_s_15_0))
           (portRef D (instanceRef datamux_o_alu_in_left_path_addr_1_d_0))
           (portRef C (instanceRef path_left_data_4_sx_7))
           (portRef B (instanceRef path_left_data_i_m3_4_1_0))
           (portRef A (instanceRef SU_1_sqmuxa_1))
           (portRef A (instanceRef SU_0_sqmuxa_1))
           (portRef A (instanceRef SU_0_sqmuxa))
           (portRef A (instanceRef SU_1_sqmuxa))
           (portRef C (instanceRef datamux_o_alu_in_left_path_addr_1_d_2))
           (portRef C (instanceRef datamux_o_dest_reg_addr_1))
           (portRef C (instanceRef datamux_o_alu_in_left_path_addr_1_1))
           (portRef C (instanceRef datamux_o_dest_reg_addr_0))
           (portRef C (instanceRef datamux_o_dest_reg_addr_2))
           (portRef D (instanceRef datamux_o_alu_in_left_path_addr_1_0_RNIVRLE4_1))
           (portRef A (instanceRef SS_RNITBPF_13))
           (portRef A (instanceRef SS_RNIN5PF_10))
           (portRef A (instanceRef k_cpu_addr_26_iv_0_a2_0))
           (portRef A (instanceRef SS_RNIP7PF_11))
           (portRef A (instanceRef SU_RNI733M_9))
           (portRef A (instanceRef SU_RNIPK2M_2))
           (portRef A (instanceRef SU_RNIVQ2M_5))
           (portRef D (instanceRef SS_RNO_0))
           (portRef D (instanceRef SU_RNO_0))
           (portRef B (instanceRef SU_1_sqmuxa_3_RNO))
           (portRef B (instanceRef datamux_o_dest_reg_addr_0_RNIPAPU_1))
           (portRef B (instanceRef datamux_o_dest_reg_addr_0_RNISJ1R_1))
           (portRef B (instanceRef datamux_o_dest_reg_addr_0_RNIO9PU_0))
           (portRef D (instanceRef SU_1_sqmuxa_2_0_a2_RNIP0H01))
          ))
          (net N_970 (joined
           (portRef Z (instanceRef datamux_o_dest_reg_addr_0_0))
           (portRef A (instanceRef datamux_o_dest_reg_addr_0))
           (portRef D (instanceRef SU_1_sqmuxa_3_RNO))
           (portRef D (instanceRef datamux_o_dest_reg_addr_0_RNIO9PU_0))
          ))
          (net cff_1_sqmuxa_1 (joined
           (portRef Z (instanceRef datamux_o_dest_reg_addr_0_RNIO9PU_0))
           (portRef D (instanceRef SS_2_sqmuxa_1))
           (portRef D (instanceRef IY_1_sqmuxa_1))
           (portRef A (instanceRef cff_1_sqmuxa_1_RNIJDPB1))
          ))
          (net (rename datamux_o_dest_reg_addr_0 "datamux_o_dest_reg_addr[0]") (joined
           (portRef Z (instanceRef datamux_o_dest_reg_addr_0))
           (portRef A (instanceRef datamux_o_dest_reg_addr_RNI405O_0))
           (portRef A (instanceRef PC_1_sqmuxa_0))
           (portRef A (instanceRef IX_1_sqmuxa))
           (portRef A (instanceRef un1_write_reg_addr_4))
           (portRef A (instanceRef datamux_o_dest_reg_addr_0_RNISJ1R_1))
          ))
          (net datamux_o_alu_in_left_path_addr24 (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_addr24))
           (portRef B (instanceRef datamux_o_alu_in_left_path_addr_1_d_0))
           (portRef B (instanceRef path_left_data_4_sx_7))
           (portRef B (instanceRef path_left_data_i_m3_4_0))
           (portRef A (instanceRef path_left_data_i_m3_4_1_0))
           (portRef B (instanceRef datamux_o_alu_in_left_path_addr_1_d_2))
           (portRef B (instanceRef datamux_o_alu_in_left_path_addr_1_d_RNI7FPN3_2))
           (portRef B (instanceRef datamux_o_alu_in_left_path_addr_1_0))
           (portRef B (instanceRef datamux_o_dest_reg_addr_1))
           (portRef A (instanceRef datamux_o_dest_reg_addr_3))
           (portRef B (instanceRef datamux_o_alu_in_left_path_addr_1_1))
           (portRef B (instanceRef datamux_o_dest_reg_addr_0))
           (portRef B (instanceRef datamux_o_dest_reg_addr_2))
           (portRef B (instanceRef datamux_o_alu_in_left_path_addr_1_0_RNIVRLE4_1))
           (portRef C (instanceRef SU_1_sqmuxa_3_RNO))
           (portRef C (instanceRef datamux_o_dest_reg_addr_0_RNIPAPU_1))
           (portRef C (instanceRef datamux_o_dest_reg_addr_0_RNISJ1R_1))
           (portRef C (instanceRef datamux_o_dest_reg_addr_0_RNIO9PU_0))
           (portRef datamux_o_alu_in_left_path_addr24)
          ))
          (net N_971 (joined
           (portRef Z (instanceRef datamux_o_dest_reg_addr_0_1))
           (portRef A (instanceRef datamux_o_dest_reg_addr_1))
           (portRef D (instanceRef datamux_o_dest_reg_addr_0_RNIPAPU_1))
           (portRef D (instanceRef datamux_o_dest_reg_addr_0_RNISJ1R_1))
          ))
          (net un1_write_reg_addr_2_1 (joined
           (portRef Z (instanceRef datamux_o_dest_reg_addr_0_RNISJ1R_1))
           (portRef C (instanceRef ACCB22_RNI6UCG1))
          ))
          (net PC_1_sqmuxa_1 (joined
           (portRef Z (instanceRef datamux_o_dest_reg_addr_0_RNIPAPU_1))
           (portRef D (instanceRef IX_1_sqmuxa))
           (portRef D (instanceRef PC_1_sqmuxa_0_RNINS2K1))
           (portRef D (instanceRef PC_1_sqmuxa_0_RNINS2K1_0))
          ))
          (net (rename datamux_o_dest_reg_addr_3 "datamux_o_dest_reg_addr[3]") (joined
           (portRef Z (instanceRef datamux_o_dest_reg_addr_3))
           (portRef C (instanceRef datamux_o_dest_reg_addr_RNI405O_0))
           (portRef C (instanceRef cff_1_sqmuxa_1))
           (portRef C (instanceRef PC_1_sqmuxa_0))
           (portRef B (instanceRef SS_2_sqmuxa_1))
           (portRef C (instanceRef IX_1_sqmuxa))
           (portRef C (instanceRef IY_1_sqmuxa_1))
           (portRef D (instanceRef un1_write_reg_addr_4))
           (portRef C (instanceRef ACCA_RNO_0))
           (portRef C (instanceRef ACCA_RNO_7))
           (portRef C (instanceRef ACCA_RNO_6))
           (portRef C (instanceRef ACCA_RNO_5))
           (portRef C (instanceRef ACCA_RNO_4))
           (portRef C (instanceRef ACCA_RNO_3))
           (portRef C (instanceRef ACCA_RNO_2))
           (portRef C (instanceRef ACCA_RNO_1))
           (portRef A (instanceRef SU_1_sqmuxa_3_RNO))
          ))
          (net PC_1_sqmuxa_2 (joined
           (portRef Z (instanceRef SU_1_sqmuxa_3_RNO))
           (portRef D (instanceRef SU_1_sqmuxa_3))
          ))
          (net (rename state_cnst_0_a9_0_0_1 "state_cnst_0_a9_0_0[1]") (joined
           (portRef Z (instanceRef state_cnst_0_10_1_RNO_1))
           (portRef D (instanceRef state_cnst_0_10_1_1))
          ))
          (net datamux_o_alu_in_left_path_addr27_1 (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_addr27_1))
           (portRef C (instanceRef un1_datamux_o_alu_in_left_path_addr30_1_0_a0_RNI7APF1))
           (portRef B (instanceRef datamux_o_alu_in_left_path_addr27))
           (portRef C (instanceRef un1_datamux_o_alu_in_left_path_addr30_1_1))
           (portRef B (instanceRef DP_RNIK3571_0))
          ))
          (net datamux_o_alu_in_left_path_addr28_6 (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_addr27_6))
           (portRef A (instanceRef datamux_o_alu_in_left_path_addr_cnst_0_a2_x_0))
           (portRef B (instanceRef un1_datamux_o_alu_in_left_path_addr30_1_0_a0_RNI7APF1))
           (portRef A (instanceRef datamux_o_alu_in_left_path_addr27))
           (portRef A (instanceRef datamux_o_alu_in_left_path_addr_cnst_i_a2_0_1))
           (portRef B (instanceRef un1_datamux_o_alu_in_left_path_addr30_1_1))
           (portRef B (instanceRef datamux_o_alu_in_left_path_addr_cnst_0_a2_0))
           (portRef C (instanceRef DP_RNIK3571_0))
          ))
          (net (rename DP_RNIK3571_0 "DP_RNIK3571[0]") (joined
           (portRef Z (instanceRef DP_RNIK3571_0))
           (portRef A (instanceRef datamux_o_alu_in_left_path_addr_1_RNI208S7_1))
          ))
          (net un1_state_59 (joined
           (portRef Z (instanceRef un1_state_59))
           (portRef B (instanceRef un1_state_71))
           (portRef C (instanceRef k_memlo_1_sqmuxa_RNIJI2O))
           (portRef A (instanceRef un1_state_59_RNIPPC33))
           (portRef B (instanceRef un1_state_15_RNIK6741))
           (portRef un1_state_59)
          ))
          (net (rename dec_o_p1_mode_2 "dec_o_p1_mode[2]") (joined
           (portRef (member dec_o_p1_mode 0))
           (portRef C (instanceRef state_cnst_i_a9_8_4))
           (portRef C (instanceRef state_cnst_i_a9_7_0))
           (portRef C (instanceRef next_state_2_sqmuxa_2))
           (portRef C (instanceRef state_cnst_i_a3_6_0))
           (portRef C (instanceRef state_cnst_i_o2_2_0))
           (portRef D (instanceRef state_cnst_0_a9_2))
           (portRef C (instanceRef k_memlo_1_sqmuxa))
           (portRef B (instanceRef un1_state_59_RNIPPC33))
           (portRef B (instanceRef state_cnst_0_10_1_RNO_1))
          ))
          (net k_pull_reg_write_0_sqmuxa (joined
           (portRef Z (instanceRef un1_state_59_RNIPPC33))
           (portRef k_pull_reg_write_0_sqmuxa)
          ))
          (net N_744 (joined
           (portRef Z (instanceRef state_cnst_i_o2_2_0))
           (portRef B (instanceRef state_23_iv_RNO_0))
          ))
          (net N_758 (joined
           (portRef Z (instanceRef state_23_iv_RNO_0))
           (portRef A (instanceRef state_23_iv_0))
          ))
          (net N_151 (joined
           (portRef Z (instanceRef un1_data_w_i_m3_0_RNIB76G_0))
           (portRef C1 (instanceRef un1_data_w_1_cry_0_0))
           (portRef B (instanceRef SU_RNO_0))
          ))
          (net k_dec_su (joined
           (portRef k_dec_su)
           (portRef B1 (instanceRef un1_data_w_1_cry_1_0))
           (portRef B0 (instanceRef un1_data_w_1_cry_1_0))
           (portRef B1 (instanceRef un1_data_w_1_cry_3_0))
           (portRef B0 (instanceRef un1_data_w_1_cry_3_0))
           (portRef B1 (instanceRef un1_data_w_1_cry_5_0))
           (portRef B0 (instanceRef un1_data_w_1_cry_5_0))
           (portRef B1 (instanceRef un1_data_w_1_cry_7_0))
           (portRef B0 (instanceRef un1_data_w_1_cry_7_0))
           (portRef B1 (instanceRef un1_data_w_1_cry_9_0))
           (portRef B0 (instanceRef un1_data_w_1_cry_9_0))
           (portRef B1 (instanceRef un1_data_w_1_cry_11_0))
           (portRef B0 (instanceRef un1_data_w_1_cry_11_0))
           (portRef B1 (instanceRef un1_data_w_1_cry_13_0))
           (portRef B0 (instanceRef un1_data_w_1_cry_13_0))
           (portRef B0 (instanceRef un1_data_w_1_s_15_0))
           (portRef B1 (instanceRef un1_SS_1_cry_1_0))
           (portRef B0 (instanceRef un1_SS_1_cry_1_0))
           (portRef B1 (instanceRef un1_SS_1_cry_3_0))
           (portRef B0 (instanceRef un1_SS_1_cry_3_0))
           (portRef B1 (instanceRef un1_SS_1_cry_5_0))
           (portRef B0 (instanceRef un1_SS_1_cry_5_0))
           (portRef B1 (instanceRef un1_SS_1_cry_7_0))
           (portRef B0 (instanceRef un1_SS_1_cry_7_0))
           (portRef B1 (instanceRef un1_SS_1_cry_9_0))
           (portRef B0 (instanceRef un1_SS_1_cry_9_0))
           (portRef B1 (instanceRef un1_SS_1_cry_11_0))
           (portRef B0 (instanceRef un1_SS_1_cry_11_0))
           (portRef B1 (instanceRef un1_SS_1_cry_13_0))
           (portRef B0 (instanceRef un1_SS_1_cry_13_0))
           (portRef B0 (instanceRef un1_SS_1_s_15_0))
           (portRef B (instanceRef SU_1_sqmuxa_1))
           (portRef B (instanceRef SU_0_sqmuxa_1))
           (portRef C (instanceRef SS_RNO_0))
           (portRef C (instanceRef SU_RNO_0))
           (portRef C (instanceRef SU_1_sqmuxa_2_0_a2_RNIP0H01))
          ))
          (net (rename un1_data_w_1_0 "un1_data_w_1[0]") (joined
           (portRef Z (instanceRef SU_RNO_0))
           (portRef D (instanceRef SU_0))
          ))
          (net SU_0_sqmuxa (joined
           (portRef Z (instanceRef SU_0_sqmuxa))
           (portRef B1 (instanceRef un1_SS_1_cry_0_0))
           (portRef B (instanceRef SS_3_sqmuxa))
           (portRef C (instanceRef SS_2_sqmuxa_1_1))
           (portRef A (instanceRef SS_RNO_0))
          ))
          (net N_150 (joined
           (portRef Z (instanceRef SS_3_sqmuxa_RNI8P4U1))
           (portRef A1 (instanceRef un1_SS_1_cry_0_0))
           (portRef B (instanceRef SS_RNO_0))
          ))
          (net (rename un1_SS_1_0 "un1_SS_1[0]") (joined
           (portRef Z (instanceRef SS_RNO_0))
           (portRef D (instanceRef SS_0))
          ))
          (net (rename dest_reg_3_0 "dest_reg_3[0]") (joined
           (portRef (member dest_reg_3 0))
           (portRef B (instanceRef cff_RNO_0))
          ))
          (net N_20 (joined
           (portRef N_20)
           (portRef D (instanceRef cff_RNO_0))
          ))
          (net cff_m2_e_1 (joined
           (portRef Z (instanceRef cff_RNO_0))
           (portRef C (instanceRef cff_RNO))
          ))
          (net N_180_1 (joined
           (portRef Z (instanceRef ACCB_RNIP39B_0))
           (portRef A (instanceRef datamux_o_alu_in_right_path_data_i_1_0))
           (portRef A (instanceRef datamux_o_alu_in_right_path_data_i_m2_RNI7FNO_0))
           (portRef C (instanceRef datamux_o_alu_in_right_path_data_i_m2_RNIVJV3E_0))
           (portRef N_180_1)
          ))
          (net N_1965 (joined
           (portRef Z (instanceRef datamux_o_alu_in_right_path_data_i_m2_RNIVJV3E_0))
           (portRef A (instanceRef cff_6_i_a2_2_1_RNIVC6JE))
          ))
          (net un1_state_59_1 (joined
           (portRef Z (instanceRef un1_state_15_1))
           (portRef B (instanceRef un1_state_59))
           (portRef B (instanceRef un1_state_15))
           (portRef B (instanceRef un1_state_33))
           (portRef C (instanceRef un1_state_15_1_RNIF2M41))
           (portRef B (instanceRef un1_state_15_1_RNIFNNC2))
           (portRef un1_state_59_1)
          ))
          (net N_766_2 (joined
           (portRef Z (instanceRef k_clear_e_3_3))
           (portRef A (instanceRef un1_state_33))
           (portRef B (instanceRef state_23_iv_RNO_4_0))
           (portRef A (instanceRef un1_state_82_3))
           (portRef A (instanceRef un1_k_cpu_addr_2_sqmuxa))
           (portRef D (instanceRef un1_state_15_1_RNIF2M41))
           (portRef B (instanceRef un1_state_82_3_RNO))
           (portRef N_766_2)
          ))
          (net un1_state_33_i (joined
           (portRef Z (instanceRef un1_state_15_1_RNIF2M41))
           (portRef un1_state_33_i)
          ))
          (net cff_1_sqmuxa_1_RNIJDPB1 (joined
           (portRef Z (instanceRef cff_1_sqmuxa_1_RNIJDPB1))
           (portRef SP (instanceRef cff))
           (portRef SP (instanceRef hflag_pipe))
           (portRef SP (instanceRef hflag_pipe_2))
           (portRef SP (instanceRef nff_pipe))
           (portRef SP (instanceRef vff_pipe))
           (portRef SP (instanceRef zff_pipe))
           (portRef cff_1_sqmuxa_1_RNIJDPB1)
          ))
          (net cpu_clkgen (joined
           (portRef cpu_clkgen)
           (portRef CK (instanceRef ACCA_7))
           (portRef CK (instanceRef ACCA_6))
           (portRef CK (instanceRef ACCA_5))
           (portRef CK (instanceRef ACCA_4))
           (portRef CK (instanceRef ACCA_3))
           (portRef CK (instanceRef ACCA_2))
           (portRef CK (instanceRef ACCA_1))
           (portRef CK (instanceRef ACCA_0))
           (portRef CK (instanceRef ACCB_7))
           (portRef CK (instanceRef ACCB_6))
           (portRef CK (instanceRef ACCB_5))
           (portRef CK (instanceRef ACCB_4))
           (portRef CK (instanceRef ACCB_3))
           (portRef CK (instanceRef ACCB_2))
           (portRef CK (instanceRef ACCB_1))
           (portRef CK (instanceRef ACCB_0))
           (portRef CK (instanceRef DP_7))
           (portRef CK (instanceRef DP_6))
           (portRef CK (instanceRef DP_5))
           (portRef CK (instanceRef DP_4))
           (portRef CK (instanceRef DP_3))
           (portRef CK (instanceRef DP_2))
           (portRef CK (instanceRef DP_1))
           (portRef CK (instanceRef DP_0))
           (portRef CK (instanceRef IX_15))
           (portRef CK (instanceRef IX_14))
           (portRef CK (instanceRef IX_13))
           (portRef CK (instanceRef IX_12))
           (portRef CK (instanceRef IX_11))
           (portRef CK (instanceRef IX_10))
           (portRef CK (instanceRef IX_9))
           (portRef CK (instanceRef IX_8))
           (portRef CK (instanceRef IX_7))
           (portRef CK (instanceRef IX_6))
           (portRef CK (instanceRef IX_5))
           (portRef CK (instanceRef IX_4))
           (portRef CK (instanceRef IX_3))
           (portRef CK (instanceRef IX_2))
           (portRef CK (instanceRef IX_1))
           (portRef CK (instanceRef IX_0))
           (portRef CK (instanceRef IY_15))
           (portRef CK (instanceRef IY_14))
           (portRef CK (instanceRef IY_13))
           (portRef CK (instanceRef IY_12))
           (portRef CK (instanceRef IY_11))
           (portRef CK (instanceRef IY_10))
           (portRef CK (instanceRef IY_9))
           (portRef CK (instanceRef IY_8))
           (portRef CK (instanceRef IY_7))
           (portRef CK (instanceRef IY_6))
           (portRef CK (instanceRef IY_5))
           (portRef CK (instanceRef IY_4))
           (portRef CK (instanceRef IY_3))
           (portRef CK (instanceRef IY_2))
           (portRef CK (instanceRef IY_1))
           (portRef CK (instanceRef IY_0))
           (portRef CK (instanceRef PC_15))
           (portRef CK (instanceRef PC_14))
           (portRef CK (instanceRef PC_13))
           (portRef CK (instanceRef PC_12))
           (portRef CK (instanceRef PC_11))
           (portRef CK (instanceRef PC_10))
           (portRef CK (instanceRef PC_9))
           (portRef CK (instanceRef PC_8))
           (portRef CK (instanceRef PC_7))
           (portRef CK (instanceRef PC_6))
           (portRef CK (instanceRef PC_5))
           (portRef CK (instanceRef PC_4))
           (portRef CK (instanceRef PC_3))
           (portRef CK (instanceRef PC_2))
           (portRef CK (instanceRef PC_1))
           (portRef CK (instanceRef PC_0))
           (portRef CK (instanceRef SS_15))
           (portRef CK (instanceRef SS_14))
           (portRef CK (instanceRef SS_13))
           (portRef CK (instanceRef SS_12))
           (portRef CK (instanceRef SS_11))
           (portRef CK (instanceRef SS_10))
           (portRef CK (instanceRef SS_9))
           (portRef CK (instanceRef SS_8))
           (portRef CK (instanceRef SS_7))
           (portRef CK (instanceRef SS_6))
           (portRef CK (instanceRef SS_5))
           (portRef CK (instanceRef SS_4))
           (portRef CK (instanceRef SS_3))
           (portRef CK (instanceRef SS_2))
           (portRef CK (instanceRef SS_1))
           (portRef CK (instanceRef SS_0))
           (portRef CK (instanceRef SU_15))
           (portRef CK (instanceRef SU_14))
           (portRef CK (instanceRef SU_13))
           (portRef CK (instanceRef SU_12))
           (portRef CK (instanceRef SU_11))
           (portRef CK (instanceRef SU_10))
           (portRef CK (instanceRef SU_9))
           (portRef CK (instanceRef SU_8))
           (portRef CK (instanceRef SU_7))
           (portRef CK (instanceRef SU_6))
           (portRef CK (instanceRef SU_5))
           (portRef CK (instanceRef SU_4))
           (portRef CK (instanceRef SU_3))
           (portRef CK (instanceRef SU_2))
           (portRef CK (instanceRef SU_1))
           (portRef CK (instanceRef SU_0))
           (portRef CK (instanceRef cff))
           (portRef CK (instanceRef hflag_pipe))
           (portRef CK (instanceRef hflag_pipe_2))
           (portRef CK (instanceRef k_new_pc_pipe))
           (portRef CK (instanceRef k_new_pc_pipe_11))
           (portRef CK (instanceRef k_new_pc_pipe_14))
           (portRef CK (instanceRef k_new_pc_pipe_18))
           (portRef CK (instanceRef k_new_pc_pipe_2))
           (portRef CK (instanceRef k_new_pc_pipe_21))
           (portRef CK (instanceRef k_new_pc_pipe_25))
           (portRef CK (instanceRef k_new_pc_pipe_28))
           (portRef CK (instanceRef k_new_pc_pipe_32))
           (portRef CK (instanceRef k_new_pc_pipe_35))
           (portRef CK (instanceRef k_new_pc_pipe_39))
           (portRef CK (instanceRef k_new_pc_pipe_4))
           (portRef CK (instanceRef k_new_pc_pipe_42))
           (portRef CK (instanceRef k_new_pc_pipe_46))
           (portRef CK (instanceRef k_new_pc_pipe_49))
           (portRef CK (instanceRef k_new_pc_pipe_53))
           (portRef CK (instanceRef k_new_pc_pipe_56))
           (portRef CK (instanceRef k_new_pc_pipe_60))
           (portRef CK (instanceRef k_new_pc_pipe_67))
           (portRef CK (instanceRef k_new_pc_pipe_7))
           (portRef CK (instanceRef k_new_pc_pipe_70))
           (portRef CK (instanceRef k_new_pc_pipe_72))
           (portRef CK (instanceRef k_new_pc_pipe_74))
           (portRef CK (instanceRef k_new_pc_pipe_76))
           (portRef CK (instanceRef nff_pipe))
           (portRef CK (instanceRef vff_pipe))
           (portRef CK (instanceRef zff_pipe))
          ))
          (net VCC (joined
           (portRef Z (instanceRef VCC))
           (portRef B0 (instanceRef PC_cry_s1_0_0))
          ))
          (net (rename k_eahif_5 "k_eahif[5]") (joined
           (portRef Q (instanceRef k_new_pc_pipe_76))
           (portRef B (instanceRef k_new_pc_17_am_13))
          ))
          (net un1_state80_RNITTHJ (joined
           (portRef un1_state80_RNITTHJ)
           (portRef SP (instanceRef k_new_pc_pipe))
           (portRef SP (instanceRef k_new_pc_pipe_11))
           (portRef SP (instanceRef k_new_pc_pipe_14))
           (portRef SP (instanceRef k_new_pc_pipe_18))
           (portRef SP (instanceRef k_new_pc_pipe_2))
           (portRef SP (instanceRef k_new_pc_pipe_21))
           (portRef SP (instanceRef k_new_pc_pipe_25))
           (portRef SP (instanceRef k_new_pc_pipe_28))
           (portRef SP (instanceRef k_new_pc_pipe_32))
           (portRef SP (instanceRef k_new_pc_pipe_35))
           (portRef SP (instanceRef k_new_pc_pipe_39))
           (portRef SP (instanceRef k_new_pc_pipe_4))
           (portRef SP (instanceRef k_new_pc_pipe_42))
           (portRef SP (instanceRef k_new_pc_pipe_46))
           (portRef SP (instanceRef k_new_pc_pipe_49))
           (portRef SP (instanceRef k_new_pc_pipe_53))
           (portRef SP (instanceRef k_new_pc_pipe_56))
           (portRef SP (instanceRef k_new_pc_pipe_60))
           (portRef SP (instanceRef k_new_pc_pipe_67))
           (portRef SP (instanceRef k_new_pc_pipe_7))
           (portRef SP (instanceRef k_new_pc_pipe_70))
           (portRef SP (instanceRef k_new_pc_pipe_72))
           (portRef SP (instanceRef k_new_pc_pipe_74))
           (portRef SP (instanceRef k_new_pc_pipe_76))
          ))
          (net (rename k_eahif_3 "k_eahif[3]") (joined
           (portRef Q (instanceRef k_new_pc_pipe_74))
           (portRef B (instanceRef k_new_pc_17_am_11))
          ))
          (net (rename k_eahif_2 "k_eahif[2]") (joined
           (portRef Q (instanceRef k_new_pc_pipe_72))
           (portRef B (instanceRef k_new_pc_17_am_10))
          ))
          (net (rename k_eahif_1 "k_eahif[1]") (joined
           (portRef Q (instanceRef k_new_pc_pipe_70))
           (portRef B (instanceRef k_new_pc_17_am_9))
          ))
          (net (rename k_ealo_1 "k_ealo[1]") (joined
           (portRef k_ealo_1)
           (portRef D (instanceRef k_new_pc_pipe_7))
          ))
          (net (rename k_ealof_1 "k_ealof[1]") (joined
           (portRef Q (instanceRef k_new_pc_pipe_7))
           (portRef B (instanceRef k_new_pc_17_1))
          ))
          (net (rename regs_o_eamem_addrf_13 "regs_o_eamem_addrf[13]") (joined
           (portRef Q (instanceRef k_new_pc_pipe_67))
           (portRef C (instanceRef k_new_pc_17_1_13))
          ))
          (net (rename regs_o_eamem_addr_11 "regs_o_eamem_addr[11]") (joined
           (portRef S0 (instanceRef eamem_addr_cry_11_0))
           (portRef C (instanceRef datamux_o_dest_0_11))
           (portRef B (instanceRef k_cpu_addr_26_iv_1_11))
           (portRef D (instanceRef k_new_pc_pipe_60))
          ))
          (net (rename regs_o_eamem_addrf_11 "regs_o_eamem_addrf[11]") (joined
           (portRef Q (instanceRef k_new_pc_pipe_60))
           (portRef C (instanceRef k_new_pc_17_1_11))
          ))
          (net (rename regs_o_dp_5 "regs_o_dp[5]") (joined
           (portRef Q (instanceRef DP_5))
           (portRef A (instanceRef DP_RNI9Q151_5))
           (portRef B (instanceRef DP_RNIKUPB2_5))
           (portRef C (instanceRef path_left_data_4_5))
           (portRef C (instanceRef path_left_data_6_am_5))
           (portRef D (instanceRef k_new_pc_pipe_56))
          ))
          (net (rename regs_o_dpf_5 "regs_o_dpf[5]") (joined
           (portRef Q (instanceRef k_new_pc_pipe_56))
           (portRef C (instanceRef k_new_pc_17_am_13))
          ))
          (net (rename regs_o_eamem_addrf_10 "regs_o_eamem_addrf[10]") (joined
           (portRef Q (instanceRef k_new_pc_pipe_53))
           (portRef C (instanceRef k_new_pc_17_1_10))
          ))
          (net (rename regs_o_dp_3 "regs_o_dp[3]") (joined
           (portRef Q (instanceRef DP_3))
           (portRef A (instanceRef DP_RNI7M051_3))
           (portRef B (instanceRef DP_RNIGOOB2_3))
           (portRef D (instanceRef path_left_data_6_RNO_3))
           (portRef D (instanceRef k_new_pc_pipe_49))
          ))
          (net (rename regs_o_dpf_3 "regs_o_dpf[3]") (joined
           (portRef Q (instanceRef k_new_pc_pipe_49))
           (portRef C (instanceRef k_new_pc_17_am_11))
          ))
          (net (rename regs_o_eamem_addrf_9 "regs_o_eamem_addrf[9]") (joined
           (portRef Q (instanceRef k_new_pc_pipe_46))
           (portRef C (instanceRef k_new_pc_17_1_9))
          ))
          (net (rename regs_o_dp_2 "regs_o_dp[2]") (joined
           (portRef Q (instanceRef DP_2))
           (portRef A (instanceRef DP_RNI6L051_2))
           (portRef B (instanceRef DP_RNIEMOB2_2))
           (portRef D (instanceRef path_left_data_6_am_2))
           (portRef D (instanceRef k_new_pc_pipe_42))
          ))
          (net (rename regs_o_dpf_2 "regs_o_dpf[2]") (joined
           (portRef Q (instanceRef k_new_pc_pipe_42))
           (portRef C (instanceRef k_new_pc_17_am_10))
          ))
          (net (rename regs_o_eamem_addrf_0 "regs_o_eamem_addrf[0]") (joined
           (portRef Q (instanceRef k_new_pc_pipe_4))
           (portRef C (instanceRef k_new_pc_17_i_m3_1_0))
          ))
          (net (rename regs_o_eamem_addrf_6 "regs_o_eamem_addrf[6]") (joined
           (portRef Q (instanceRef k_new_pc_pipe_39))
           (portRef C (instanceRef k_new_pc_17_1_6))
          ))
          (net (rename k_ealo_6 "k_ealo[6]") (joined
           (portRef k_ealo_6)
           (portRef D (instanceRef k_new_pc_pipe_35))
          ))
          (net (rename k_ealof_6 "k_ealof[6]") (joined
           (portRef Q (instanceRef k_new_pc_pipe_35))
           (portRef B (instanceRef k_new_pc_17_6))
          ))
          (net (rename regs_o_eamem_addrf_5 "regs_o_eamem_addrf[5]") (joined
           (portRef Q (instanceRef k_new_pc_pipe_32))
           (portRef C (instanceRef k_new_pc_17_1_5))
          ))
          (net (rename k_ealof_5 "k_ealof[5]") (joined
           (portRef Q (instanceRef k_new_pc_pipe_28))
           (portRef B (instanceRef k_new_pc_17_5))
          ))
          (net (rename regs_o_eamem_addrf_4 "regs_o_eamem_addrf[4]") (joined
           (portRef Q (instanceRef k_new_pc_pipe_25))
           (portRef C (instanceRef k_new_pc_17_1_4))
          ))
          (net (rename k_ealo_4 "k_ealo[4]") (joined
           (portRef k_ealo_4)
           (portRef D (instanceRef k_new_pc_pipe_21))
          ))
          (net (rename k_ealof_4 "k_ealof[4]") (joined
           (portRef Q (instanceRef k_new_pc_pipe_21))
           (portRef B (instanceRef k_new_pc_17_4))
          ))
          (net (rename regs_o_dpf_1 "regs_o_dpf[1]") (joined
           (portRef Q (instanceRef k_new_pc_pipe_2))
           (portRef C (instanceRef k_new_pc_17_am_9))
          ))
          (net (rename regs_o_eamem_addrf_2 "regs_o_eamem_addrf[2]") (joined
           (portRef Q (instanceRef k_new_pc_pipe_18))
           (portRef C (instanceRef k_new_pc_17_1_2))
          ))
          (net (rename k_ealof_2 "k_ealof[2]") (joined
           (portRef Q (instanceRef k_new_pc_pipe_14))
           (portRef B (instanceRef k_new_pc_17_2))
          ))
          (net (rename regs_o_eamem_addrf_1 "regs_o_eamem_addrf[1]") (joined
           (portRef Q (instanceRef k_new_pc_pipe_11))
           (portRef C (instanceRef k_new_pc_17_1_1))
          ))
          (net (rename k_ealof_0 "k_ealof[0]") (joined
           (portRef Q (instanceRef k_new_pc_pipe))
           (portRef B (instanceRef k_new_pc_17_i_m3_0))
          ))
          (net un1_dec_o_write_flags (joined
           (portRef Z (instanceRef un1_dec_o_write_flags))
           (portRef B (instanceRef cff_6_i_a2_8))
           (portRef C (instanceRef cff_6_i_a2_2_1))
           (portRef C (instanceRef cff_1_sqmuxa_1_RNIJDPB1))
           (portRef C (instanceRef cff_6_i_a2_3_1))
           (portRef C (instanceRef cff_6_i_a2_16))
           (portRef C (instanceRef cff_6_i_a2_15))
           (portRef D (instanceRef cff_6_i_a2_3_0))
           (portRef D (instanceRef cff_6_i_a2_1_1))
           (portRef D (instanceRef cff_6_i_a2_2_1_RNIVC6JE))
           (portRef D (instanceRef hflag_pipe_2))
          ))
          (net N_27_i (joined
           (portRef Z (instanceRef cff_RNO))
           (portRef D (instanceRef cff))
          ))
          (net (rename regs_o_CCR_0 "regs_o_CCR[0]") (joined
           (portRef Q (instanceRef cff))
           (portRef B (instanceRef cff_6_i_a2_16))
           (portRef C (instanceRef cff_6_i_a2_2_1_RNIVC6JE))
           (portRef A (instanceRef cff_RNIKCR3))
           (portRef regs_o_CCR_0)
          ))
          (net (rename un1_data_w_1_1 "un1_data_w_1[1]") (joined
           (portRef S0 (instanceRef un1_data_w_1_cry_1_0))
           (portRef D (instanceRef SU_1))
          ))
          (net cpu_clk_i (joined
           (portRef cpu_clk_i)
           (portRef SP (instanceRef PC_15))
           (portRef SP (instanceRef PC_14))
           (portRef SP (instanceRef PC_13))
           (portRef SP (instanceRef PC_12))
           (portRef SP (instanceRef PC_11))
           (portRef SP (instanceRef PC_10))
           (portRef SP (instanceRef PC_9))
           (portRef SP (instanceRef PC_8))
           (portRef SP (instanceRef PC_7))
           (portRef SP (instanceRef PC_6))
           (portRef SP (instanceRef PC_5))
           (portRef SP (instanceRef PC_4))
           (portRef SP (instanceRef PC_3))
           (portRef SP (instanceRef PC_2))
           (portRef SP (instanceRef PC_1))
           (portRef SP (instanceRef PC_0))
           (portRef SP (instanceRef SS_15))
           (portRef SP (instanceRef SS_14))
           (portRef SP (instanceRef SS_13))
           (portRef SP (instanceRef SS_12))
           (portRef SP (instanceRef SS_11))
           (portRef SP (instanceRef SS_10))
           (portRef SP (instanceRef SS_9))
           (portRef SP (instanceRef SS_8))
           (portRef SP (instanceRef SS_7))
           (portRef SP (instanceRef SS_6))
           (portRef SP (instanceRef SS_5))
           (portRef SP (instanceRef SS_4))
           (portRef SP (instanceRef SS_3))
           (portRef SP (instanceRef SS_2))
           (portRef SP (instanceRef SS_1))
           (portRef SP (instanceRef SS_0))
           (portRef SP (instanceRef SU_15))
           (portRef SP (instanceRef SU_14))
           (portRef SP (instanceRef SU_13))
           (portRef SP (instanceRef SU_12))
           (portRef SP (instanceRef SU_11))
           (portRef SP (instanceRef SU_10))
           (portRef SP (instanceRef SU_9))
           (portRef SP (instanceRef SU_8))
           (portRef SP (instanceRef SU_7))
           (portRef SP (instanceRef SU_6))
           (portRef SP (instanceRef SU_5))
           (portRef SP (instanceRef SU_4))
           (portRef SP (instanceRef SU_3))
           (portRef SP (instanceRef SU_2))
           (portRef SP (instanceRef SU_1))
           (portRef SP (instanceRef SU_0))
          ))
          (net (rename un1_data_w_1_2 "un1_data_w_1[2]") (joined
           (portRef S1 (instanceRef un1_data_w_1_cry_1_0))
           (portRef D (instanceRef SU_2))
          ))
          (net (rename SU_2 "SU[2]") (joined
           (portRef Q (instanceRef SU_2))
           (portRef C (instanceRef ea_reg_3_am_2))
           (portRef C (instanceRef path_left_data_5_bm_2))
           (portRef C (instanceRef SU_RNIPK2M_2))
           (portRef B (instanceRef un1_data_w_1_cry_1_0_RNO_0))
          ))
          (net (rename un1_data_w_1_3 "un1_data_w_1[3]") (joined
           (portRef S0 (instanceRef un1_data_w_1_cry_3_0))
           (portRef D (instanceRef SU_3))
          ))
          (net (rename un1_data_w_1_4 "un1_data_w_1[4]") (joined
           (portRef S1 (instanceRef un1_data_w_1_cry_3_0))
           (portRef D (instanceRef SU_4))
          ))
          (net (rename un1_data_w_1_5 "un1_data_w_1[5]") (joined
           (portRef S0 (instanceRef un1_data_w_1_cry_5_0))
           (portRef D (instanceRef SU_5))
          ))
          (net (rename SU_5 "SU[5]") (joined
           (portRef Q (instanceRef SU_5))
           (portRef C (instanceRef ea_reg_3_am_5))
           (portRef C (instanceRef path_left_data_3_5))
           (portRef C (instanceRef SU_RNIVQ2M_5))
           (portRef B (instanceRef un1_data_w_1_cry_5_0_RNO))
          ))
          (net (rename un1_data_w_1_6 "un1_data_w_1[6]") (joined
           (portRef S1 (instanceRef un1_data_w_1_cry_5_0))
           (portRef D (instanceRef SU_6))
          ))
          (net (rename un1_data_w_1_7 "un1_data_w_1[7]") (joined
           (portRef S0 (instanceRef un1_data_w_1_cry_7_0))
           (portRef D (instanceRef SU_7))
          ))
          (net (rename un1_data_w_1_8 "un1_data_w_1[8]") (joined
           (portRef S1 (instanceRef un1_data_w_1_cry_7_0))
           (portRef D (instanceRef SU_8))
          ))
          (net (rename un1_data_w_1_9 "un1_data_w_1[9]") (joined
           (portRef S0 (instanceRef un1_data_w_1_cry_9_0))
           (portRef D (instanceRef SU_9))
          ))
          (net (rename SU_9 "SU[9]") (joined
           (portRef Q (instanceRef SU_9))
           (portRef C (instanceRef ea_reg_3_am_9))
           (portRef C (instanceRef path_left_data_5_bm_9))
           (portRef C (instanceRef SU_RNI733M_9))
           (portRef B (instanceRef un1_data_w_1_cry_9_0_RNO))
          ))
          (net (rename un1_data_w_1_10 "un1_data_w_1[10]") (joined
           (portRef S1 (instanceRef un1_data_w_1_cry_9_0))
           (portRef D (instanceRef SU_10))
          ))
          (net (rename SU_10 "SU[10]") (joined
           (portRef Q (instanceRef SU_10))
           (portRef C (instanceRef ea_reg_3_am_10))
           (portRef C (instanceRef path_left_data_5_bm_10))
           (portRef C (instanceRef SS_RNIN5PF_10))
           (portRef B (instanceRef un1_data_w_1_cry_9_0_RNO_0))
          ))
          (net (rename un1_data_w_1_11 "un1_data_w_1[11]") (joined
           (portRef S0 (instanceRef un1_data_w_1_cry_11_0))
           (portRef D (instanceRef SU_11))
          ))
          (net (rename SU_11 "SU[11]") (joined
           (portRef Q (instanceRef SU_11))
           (portRef C (instanceRef ea_reg_3_am_11))
           (portRef C (instanceRef path_left_data_5_bm_11))
           (portRef C (instanceRef SS_RNIP7PF_11))
           (portRef B (instanceRef un1_data_w_1_cry_11_0_RNO))
          ))
          (net (rename un1_data_w_1_12 "un1_data_w_1[12]") (joined
           (portRef S1 (instanceRef un1_data_w_1_cry_11_0))
           (portRef D (instanceRef SU_12))
          ))
          (net (rename un1_data_w_1_13 "un1_data_w_1[13]") (joined
           (portRef S0 (instanceRef un1_data_w_1_cry_13_0))
           (portRef D (instanceRef SU_13))
          ))
          (net (rename SU_13 "SU[13]") (joined
           (portRef Q (instanceRef SU_13))
           (portRef C (instanceRef ea_reg_3_am_13))
           (portRef C (instanceRef path_left_data_5_bm_13))
           (portRef C (instanceRef SS_RNITBPF_13))
           (portRef B (instanceRef un1_data_w_1_cry_13_0_RNO))
          ))
          (net (rename un1_data_w_1_14 "un1_data_w_1[14]") (joined
           (portRef S1 (instanceRef un1_data_w_1_cry_13_0))
           (portRef D (instanceRef SU_14))
          ))
          (net (rename un1_data_w_1_15 "un1_data_w_1[15]") (joined
           (portRef S0 (instanceRef un1_data_w_1_s_15_0))
           (portRef D (instanceRef SU_15))
          ))
          (net (rename un1_SS_1_1 "un1_SS_1[1]") (joined
           (portRef S0 (instanceRef un1_SS_1_cry_1_0))
           (portRef D (instanceRef SS_1))
          ))
          (net (rename un1_SS_1_2 "un1_SS_1[2]") (joined
           (portRef S1 (instanceRef un1_SS_1_cry_1_0))
           (portRef D (instanceRef SS_2))
          ))
          (net (rename SS_2 "SS[2]") (joined
           (portRef Q (instanceRef SS_2))
           (portRef C (instanceRef ea_reg_3_bm_2))
           (portRef B (instanceRef path_left_data_2_2))
           (portRef B (instanceRef un1_SS_0_2))
           (portRef B (instanceRef SU_RNIPK2M_2))
          ))
          (net (rename un1_SS_1_3 "un1_SS_1[3]") (joined
           (portRef S0 (instanceRef un1_SS_1_cry_3_0))
           (portRef D (instanceRef SS_3))
          ))
          (net (rename un1_SS_1_4 "un1_SS_1[4]") (joined
           (portRef S1 (instanceRef un1_SS_1_cry_3_0))
           (portRef D (instanceRef SS_4))
          ))
          (net (rename un1_SS_1_5 "un1_SS_1[5]") (joined
           (portRef S0 (instanceRef un1_SS_1_cry_5_0))
           (portRef D (instanceRef SS_5))
          ))
          (net (rename SS_5 "SS[5]") (joined
           (portRef Q (instanceRef SS_5))
           (portRef C (instanceRef ea_reg_3_bm_5))
           (portRef B (instanceRef path_left_data_2_5))
           (portRef B (instanceRef un1_SS_0_5))
           (portRef B (instanceRef SU_RNIVQ2M_5))
          ))
          (net (rename un1_SS_1_6 "un1_SS_1[6]") (joined
           (portRef S1 (instanceRef un1_SS_1_cry_5_0))
           (portRef D (instanceRef SS_6))
          ))
          (net (rename un1_SS_1_7 "un1_SS_1[7]") (joined
           (portRef S0 (instanceRef un1_SS_1_cry_7_0))
           (portRef D (instanceRef SS_7))
          ))
          (net (rename un1_SS_1_8 "un1_SS_1[8]") (joined
           (portRef S1 (instanceRef un1_SS_1_cry_7_0))
           (portRef D (instanceRef SS_8))
          ))
          (net (rename un1_SS_1_9 "un1_SS_1[9]") (joined
           (portRef S0 (instanceRef un1_SS_1_cry_9_0))
           (portRef D (instanceRef SS_9))
          ))
          (net (rename SS_9 "SS[9]") (joined
           (portRef Q (instanceRef SS_9))
           (portRef C (instanceRef ea_reg_3_bm_9))
           (portRef B (instanceRef path_left_data_2_9))
           (portRef B (instanceRef un1_SS_0_9))
           (portRef B (instanceRef SU_RNI733M_9))
          ))
          (net (rename un1_SS_1_10 "un1_SS_1[10]") (joined
           (portRef S1 (instanceRef un1_SS_1_cry_9_0))
           (portRef D (instanceRef SS_10))
          ))
          (net (rename SS_10 "SS[10]") (joined
           (portRef Q (instanceRef SS_10))
           (portRef C (instanceRef ea_reg_3_bm_10))
           (portRef B (instanceRef path_left_data_2_10))
           (portRef B (instanceRef un1_SS_0_10))
           (portRef B (instanceRef SS_RNIN5PF_10))
          ))
          (net (rename un1_SS_1_11 "un1_SS_1[11]") (joined
           (portRef S0 (instanceRef un1_SS_1_cry_11_0))
           (portRef D (instanceRef SS_11))
          ))
          (net (rename SS_11 "SS[11]") (joined
           (portRef Q (instanceRef SS_11))
           (portRef C (instanceRef ea_reg_3_bm_11))
           (portRef B (instanceRef path_left_data_2_11))
           (portRef B (instanceRef un1_SS_0_11))
           (portRef B (instanceRef SS_RNIP7PF_11))
          ))
          (net (rename un1_SS_1_12 "un1_SS_1[12]") (joined
           (portRef S1 (instanceRef un1_SS_1_cry_11_0))
           (portRef D (instanceRef SS_12))
          ))
          (net (rename un1_SS_1_13 "un1_SS_1[13]") (joined
           (portRef S0 (instanceRef un1_SS_1_cry_13_0))
           (portRef D (instanceRef SS_13))
          ))
          (net (rename SS_13 "SS[13]") (joined
           (portRef Q (instanceRef SS_13))
           (portRef C (instanceRef ea_reg_3_bm_13))
           (portRef B (instanceRef path_left_data_2_13))
           (portRef B (instanceRef un1_SS_0_13))
           (portRef B (instanceRef SS_RNITBPF_13))
          ))
          (net (rename un1_SS_1_14 "un1_SS_1[14]") (joined
           (portRef S1 (instanceRef un1_SS_1_cry_13_0))
           (portRef D (instanceRef SS_14))
          ))
          (net (rename un1_SS_1_15 "un1_SS_1[15]") (joined
           (portRef S0 (instanceRef un1_SS_1_s_15_0))
           (portRef D (instanceRef SS_15))
          ))
          (net (rename PC_lm_0 "PC_lm[0]") (joined
           (portRef Z (instanceRef PC_lm_0_0))
           (portRef D (instanceRef PC_0))
          ))
          (net (rename PC_lm_1 "PC_lm[1]") (joined
           (portRef Z (instanceRef PC_lm_0_1))
           (portRef D (instanceRef PC_1))
          ))
          (net (rename PC_lm_2 "PC_lm[2]") (joined
           (portRef Z (instanceRef PC_lm_0_2))
           (portRef D (instanceRef PC_2))
          ))
          (net (rename PC_lm_3 "PC_lm[3]") (joined
           (portRef Z (instanceRef PC_lm_0_3))
           (portRef D (instanceRef PC_3))
          ))
          (net (rename PC_lm_4 "PC_lm[4]") (joined
           (portRef Z (instanceRef PC_lm_0_4))
           (portRef D (instanceRef PC_4))
          ))
          (net (rename PC_lm_5 "PC_lm[5]") (joined
           (portRef Z (instanceRef PC_lm_0_5))
           (portRef D (instanceRef PC_5))
          ))
          (net (rename PC_lm_6 "PC_lm[6]") (joined
           (portRef Z (instanceRef PC_lm_0_6))
           (portRef D (instanceRef PC_6))
          ))
          (net (rename PC_lm_7 "PC_lm[7]") (joined
           (portRef Z (instanceRef PC_lm_0_7))
           (portRef D (instanceRef PC_7))
          ))
          (net (rename PC_lm_8 "PC_lm[8]") (joined
           (portRef Z (instanceRef PC_lm_0_8))
           (portRef D (instanceRef PC_8))
          ))
          (net (rename PC_lm_9 "PC_lm[9]") (joined
           (portRef Z (instanceRef PC_lm_0_9))
           (portRef D (instanceRef PC_9))
          ))
          (net (rename PC_lm_10 "PC_lm[10]") (joined
           (portRef Z (instanceRef PC_lm_0_10))
           (portRef D (instanceRef PC_10))
          ))
          (net (rename PC_lm_11 "PC_lm[11]") (joined
           (portRef Z (instanceRef PC_lm_0_11))
           (portRef D (instanceRef PC_11))
          ))
          (net (rename PC_lm_12 "PC_lm[12]") (joined
           (portRef Z (instanceRef PC_lm_0_12))
           (portRef D (instanceRef PC_12))
          ))
          (net (rename PC_lm_13 "PC_lm[13]") (joined
           (portRef Z (instanceRef PC_lm_0_13))
           (portRef D (instanceRef PC_13))
          ))
          (net (rename PC_lm_14 "PC_lm[14]") (joined
           (portRef Z (instanceRef PC_lm_0_14))
           (portRef D (instanceRef PC_14))
          ))
          (net (rename PC_lm_15 "PC_lm[15]") (joined
           (portRef Z (instanceRef PC_lm_0_15))
           (portRef D (instanceRef PC_15))
          ))
          (net N_155 (joined
           (portRef Z (instanceRef IY_7_i_m3_0))
           (portRef D (instanceRef IY_0))
          ))
          (net IY_1_sqmuxa_1_RNILILD (joined
           (portRef Z (instanceRef IY_1_sqmuxa_1_RNILILD))
           (portRef SP (instanceRef IY_15))
           (portRef SP (instanceRef IY_14))
           (portRef SP (instanceRef IY_13))
           (portRef SP (instanceRef IY_12))
           (portRef SP (instanceRef IY_11))
           (portRef SP (instanceRef IY_10))
           (portRef SP (instanceRef IY_9))
           (portRef SP (instanceRef IY_8))
           (portRef SP (instanceRef IY_7))
           (portRef SP (instanceRef IY_6))
           (portRef SP (instanceRef IY_5))
           (portRef SP (instanceRef IY_4))
           (portRef SP (instanceRef IY_3))
           (portRef SP (instanceRef IY_2))
           (portRef SP (instanceRef IY_1))
           (portRef SP (instanceRef IY_0))
          ))
          (net (rename IY_0 "IY[0]") (joined
           (portRef Q (instanceRef IY_0))
           (portRef C (instanceRef path_left_data_i_m3_4_0))
           (portRef D (instanceRef path_left_data_i_m3_4_1_0))
           (portRef B (instanceRef ea_reg_3_i_m3_bm_0))
           (portRef C (instanceRef path_left_data_i_m3_2_am_0))
          ))
          (net (rename IY_7_1 "IY_7[1]") (joined
           (portRef Z (instanceRef IY_7_1))
           (portRef D (instanceRef IY_1))
          ))
          (net (rename IY_1 "IY[1]") (joined
           (portRef Q (instanceRef IY_1))
           (portRef B (instanceRef ea_reg_3_bm_1))
           (portRef B (instanceRef path_left_data_5_bm_1))
          ))
          (net (rename IY_7_2 "IY_7[2]") (joined
           (portRef Z (instanceRef IY_7_2))
           (portRef D (instanceRef IY_2))
          ))
          (net (rename IY_2 "IY[2]") (joined
           (portRef Q (instanceRef IY_2))
           (portRef B (instanceRef ea_reg_3_bm_2))
           (portRef B (instanceRef path_left_data_5_bm_2))
          ))
          (net (rename IY_7_3 "IY_7[3]") (joined
           (portRef Z (instanceRef IY_7_3))
           (portRef D (instanceRef IY_3))
          ))
          (net (rename IY_3 "IY[3]") (joined
           (portRef Q (instanceRef IY_3))
           (portRef B (instanceRef ea_reg_3_bm_3))
           (portRef B (instanceRef path_left_data_3_3))
          ))
          (net (rename IY_7_4 "IY_7[4]") (joined
           (portRef Z (instanceRef IY_7_4))
           (portRef D (instanceRef IY_4))
          ))
          (net (rename IY_4 "IY[4]") (joined
           (portRef Q (instanceRef IY_4))
           (portRef B (instanceRef ea_reg_3_bm_4))
           (portRef B (instanceRef path_left_data_3_4))
          ))
          (net (rename IY_7_5 "IY_7[5]") (joined
           (portRef Z (instanceRef IY_7_5))
           (portRef D (instanceRef IY_5))
          ))
          (net (rename IY_5 "IY[5]") (joined
           (portRef Q (instanceRef IY_5))
           (portRef B (instanceRef ea_reg_3_bm_5))
           (portRef B (instanceRef path_left_data_3_5))
          ))
          (net (rename IY_7_6 "IY_7[6]") (joined
           (portRef Z (instanceRef IY_7_6))
           (portRef D (instanceRef IY_6))
          ))
          (net (rename IY_6 "IY[6]") (joined
           (portRef Q (instanceRef IY_6))
           (portRef B (instanceRef ea_reg_3_bm_6))
           (portRef B (instanceRef path_left_data_3_6))
          ))
          (net (rename IY_7_7 "IY_7[7]") (joined
           (portRef Z (instanceRef IY_7_7))
           (portRef D (instanceRef IY_7))
          ))
          (net (rename IY_7 "IY[7]") (joined
           (portRef Q (instanceRef IY_7))
           (portRef B (instanceRef ea_reg_3_bm_7))
           (portRef B (instanceRef path_left_data_5_bm_7))
          ))
          (net (rename IY_7_8 "IY_7[8]") (joined
           (portRef Z (instanceRef IY_7_8))
           (portRef D (instanceRef IY_8))
          ))
          (net (rename IY_8 "IY[8]") (joined
           (portRef Q (instanceRef IY_8))
           (portRef B (instanceRef ea_reg_3_bm_8))
           (portRef B (instanceRef path_left_data_5_bm_8))
          ))
          (net (rename IY_7_9 "IY_7[9]") (joined
           (portRef Z (instanceRef IY_7_9))
           (portRef D (instanceRef IY_9))
          ))
          (net (rename IY_9 "IY[9]") (joined
           (portRef Q (instanceRef IY_9))
           (portRef B (instanceRef ea_reg_3_bm_9))
           (portRef B (instanceRef path_left_data_5_bm_9))
          ))
          (net (rename IY_7_10 "IY_7[10]") (joined
           (portRef Z (instanceRef IY_7_10))
           (portRef D (instanceRef IY_10))
          ))
          (net (rename IY_10 "IY[10]") (joined
           (portRef Q (instanceRef IY_10))
           (portRef B (instanceRef ea_reg_3_bm_10))
           (portRef B (instanceRef path_left_data_5_bm_10))
          ))
          (net (rename IY_7_11 "IY_7[11]") (joined
           (portRef Z (instanceRef IY_7_11))
           (portRef D (instanceRef IY_11))
          ))
          (net (rename IY_11 "IY[11]") (joined
           (portRef Q (instanceRef IY_11))
           (portRef B (instanceRef ea_reg_3_bm_11))
           (portRef B (instanceRef path_left_data_5_bm_11))
          ))
          (net (rename IY_7_12 "IY_7[12]") (joined
           (portRef Z (instanceRef IY_7_12))
           (portRef D (instanceRef IY_12))
          ))
          (net (rename IY_12 "IY[12]") (joined
           (portRef Q (instanceRef IY_12))
           (portRef B (instanceRef ea_reg_3_i_m3_bm_12))
           (portRef B (instanceRef path_left_data_5_bm_12))
          ))
          (net (rename IY_7_13 "IY_7[13]") (joined
           (portRef Z (instanceRef IY_7_13))
           (portRef D (instanceRef IY_13))
          ))
          (net (rename IY_13 "IY[13]") (joined
           (portRef Q (instanceRef IY_13))
           (portRef B (instanceRef ea_reg_3_bm_13))
           (portRef B (instanceRef path_left_data_5_bm_13))
          ))
          (net (rename IY_7_14 "IY_7[14]") (joined
           (portRef Z (instanceRef IY_7_14))
           (portRef D (instanceRef IY_14))
          ))
          (net (rename IY_14 "IY[14]") (joined
           (portRef Q (instanceRef IY_14))
           (portRef B (instanceRef ea_reg_3_bm_14))
           (portRef B (instanceRef path_left_data_5_bm_14))
          ))
          (net (rename IY_7_15 "IY_7[15]") (joined
           (portRef Z (instanceRef IY_7_15))
           (portRef D (instanceRef IY_15))
          ))
          (net (rename IY_15 "IY[15]") (joined
           (portRef Q (instanceRef IY_15))
           (portRef B (instanceRef ea_reg_3_bm_15))
           (portRef B (instanceRef path_left_data_5_bm_15))
          ))
          (net N_156 (joined
           (portRef Z (instanceRef IX_7_i_m3_0))
           (portRef D (instanceRef IX_0))
          ))
          (net IX_1_sqmuxa_RNI4SNK (joined
           (portRef Z (instanceRef IX_1_sqmuxa_RNI4SNK))
           (portRef SP (instanceRef IX_15))
           (portRef SP (instanceRef IX_14))
           (portRef SP (instanceRef IX_13))
           (portRef SP (instanceRef IX_12))
           (portRef SP (instanceRef IX_11))
           (portRef SP (instanceRef IX_10))
           (portRef SP (instanceRef IX_9))
           (portRef SP (instanceRef IX_8))
           (portRef SP (instanceRef IX_7))
           (portRef SP (instanceRef IX_6))
           (portRef SP (instanceRef IX_5))
           (portRef SP (instanceRef IX_4))
           (portRef SP (instanceRef IX_3))
           (portRef SP (instanceRef IX_2))
           (portRef SP (instanceRef IX_1))
           (portRef SP (instanceRef IX_0))
          ))
          (net (rename IX_7_1 "IX_7[1]") (joined
           (portRef Z (instanceRef IX_7_1))
           (portRef D (instanceRef IX_1))
          ))
          (net (rename IX_1 "IX[1]") (joined
           (portRef Q (instanceRef IX_1))
           (portRef D (instanceRef path_left_data_7_1_1_1))
           (portRef B (instanceRef ea_reg_3_am_1))
          ))
          (net (rename IX_7_2 "IX_7[2]") (joined
           (portRef Z (instanceRef IX_7_2))
           (portRef D (instanceRef IX_2))
          ))
          (net (rename IX_2 "IX[2]") (joined
           (portRef Q (instanceRef IX_2))
           (portRef B (instanceRef ea_reg_3_am_2))
           (portRef C (instanceRef path_left_data_5_am_2))
          ))
          (net (rename IX_7_3 "IX_7[3]") (joined
           (portRef Z (instanceRef IX_7_3))
           (portRef D (instanceRef IX_3))
          ))
          (net (rename IX_3 "IX[3]") (joined
           (portRef Q (instanceRef IX_3))
           (portRef B (instanceRef ea_reg_3_am_3))
           (portRef C (instanceRef path_left_data_1_3))
          ))
          (net (rename IX_7_4 "IX_7[4]") (joined
           (portRef Z (instanceRef IX_7_4))
           (portRef D (instanceRef IX_4))
          ))
          (net (rename IX_4 "IX[4]") (joined
           (portRef Q (instanceRef IX_4))
           (portRef B (instanceRef ea_reg_3_am_4))
           (portRef C (instanceRef path_left_data_1_4))
          ))
          (net (rename IX_7_5 "IX_7[5]") (joined
           (portRef Z (instanceRef IX_7_5))
           (portRef D (instanceRef IX_5))
          ))
          (net (rename IX_5 "IX[5]") (joined
           (portRef Q (instanceRef IX_5))
           (portRef B (instanceRef ea_reg_3_am_5))
           (portRef C (instanceRef path_left_data_1_5))
          ))
          (net (rename IX_7_6 "IX_7[6]") (joined
           (portRef Z (instanceRef IX_7_6))
           (portRef D (instanceRef IX_6))
          ))
          (net (rename IX_6 "IX[6]") (joined
           (portRef Q (instanceRef IX_6))
           (portRef B (instanceRef ea_reg_3_am_6))
           (portRef C (instanceRef path_left_data_1_6))
          ))
          (net (rename IX_7_7 "IX_7[7]") (joined
           (portRef Z (instanceRef IX_7_7))
           (portRef D (instanceRef IX_7))
          ))
          (net (rename IX_7 "IX[7]") (joined
           (portRef Q (instanceRef IX_7))
           (portRef B (instanceRef ea_reg_3_am_7))
           (portRef C (instanceRef path_left_data_5_am_7))
          ))
          (net (rename IX_7_8 "IX_7[8]") (joined
           (portRef Z (instanceRef IX_7_8))
           (portRef D (instanceRef IX_8))
          ))
          (net (rename IX_8 "IX[8]") (joined
           (portRef Q (instanceRef IX_8))
           (portRef B (instanceRef ea_reg_3_am_8))
           (portRef C (instanceRef path_left_data_5_am_8))
          ))
          (net (rename IX_7_9 "IX_7[9]") (joined
           (portRef Z (instanceRef IX_7_9))
           (portRef D (instanceRef IX_9))
          ))
          (net (rename IX_9 "IX[9]") (joined
           (portRef Q (instanceRef IX_9))
           (portRef B (instanceRef ea_reg_3_am_9))
           (portRef C (instanceRef path_left_data_5_am_9))
          ))
          (net (rename IX_7_10 "IX_7[10]") (joined
           (portRef Z (instanceRef IX_7_10))
           (portRef D (instanceRef IX_10))
          ))
          (net (rename IX_10 "IX[10]") (joined
           (portRef Q (instanceRef IX_10))
           (portRef B (instanceRef ea_reg_3_am_10))
           (portRef C (instanceRef path_left_data_5_am_10))
          ))
          (net (rename IX_7_11 "IX_7[11]") (joined
           (portRef Z (instanceRef IX_7_11))
           (portRef D (instanceRef IX_11))
          ))
          (net (rename IX_11 "IX[11]") (joined
           (portRef Q (instanceRef IX_11))
           (portRef B (instanceRef ea_reg_3_am_11))
           (portRef C (instanceRef path_left_data_5_am_11))
          ))
          (net (rename IX_7_12 "IX_7[12]") (joined
           (portRef Z (instanceRef IX_7_12))
           (portRef D (instanceRef IX_12))
          ))
          (net (rename IX_12 "IX[12]") (joined
           (portRef Q (instanceRef IX_12))
           (portRef B (instanceRef ea_reg_3_i_m3_am_12))
           (portRef C (instanceRef path_left_data_5_am_12))
          ))
          (net (rename IX_7_13 "IX_7[13]") (joined
           (portRef Z (instanceRef IX_7_13))
           (portRef D (instanceRef IX_13))
          ))
          (net (rename IX_13 "IX[13]") (joined
           (portRef Q (instanceRef IX_13))
           (portRef B (instanceRef ea_reg_3_am_13))
           (portRef C (instanceRef path_left_data_5_am_13))
          ))
          (net (rename IX_7_14 "IX_7[14]") (joined
           (portRef Z (instanceRef IX_7_14))
           (portRef D (instanceRef IX_14))
          ))
          (net (rename IX_14 "IX[14]") (joined
           (portRef Q (instanceRef IX_14))
           (portRef B (instanceRef ea_reg_3_am_14))
           (portRef C (instanceRef path_left_data_5_am_14))
          ))
          (net (rename IX_7_15 "IX_7[15]") (joined
           (portRef Z (instanceRef IX_7_15))
           (portRef D (instanceRef IX_15))
          ))
          (net (rename IX_15 "IX[15]") (joined
           (portRef Q (instanceRef IX_15))
           (portRef B (instanceRef ea_reg_3_am_15))
           (portRef C (instanceRef path_left_data_5_am_15))
          ))
          (net ACCB22_RNIDEV81 (joined
           (portRef Z (instanceRef ACCB22_RNIDEV81))
           (portRef SP (instanceRef DP_7))
           (portRef SP (instanceRef DP_6))
           (portRef SP (instanceRef DP_5))
           (portRef SP (instanceRef DP_4))
           (portRef SP (instanceRef DP_3))
           (portRef SP (instanceRef DP_2))
           (portRef SP (instanceRef DP_1))
           (portRef SP (instanceRef DP_0))
          ))
          (net (rename regs_o_dp_0 "regs_o_dp[0]") (joined
           (portRef Q (instanceRef DP_0))
           (portRef A (instanceRef DP_RNI4J051_0))
           (portRef B (instanceRef DP_RNIAIOB2_0))
           (portRef A (instanceRef DP_RNIK3571_0))
           (portRef regs_o_dp_0)
          ))
          (net (rename datamux_o_dest_1 "datamux_o_dest[1]") (joined
           (portRef datamux_o_dest_1)
           (portRef B (instanceRef IX_7_1))
           (portRef B (instanceRef IY_7_1))
           (portRef A (instanceRef un1_data_w_0_1))
           (portRef A (instanceRef un1_SS_0_1))
           (portRef A (instanceRef ACCA_RNO_1))
           (portRef A (instanceRef PC_lm_0_bm_1))
           (portRef D (instanceRef ACCB_1))
           (portRef D (instanceRef DP_1))
           (portRef D (instanceRef vff_pipe))
          ))
          (net (rename regs_o_dp_1 "regs_o_dp[1]") (joined
           (portRef Q (instanceRef DP_1))
           (portRef C (instanceRef path_left_data_4_1))
           (portRef D (instanceRef k_new_pc_pipe_2))
           (portRef regs_o_dp_1)
          ))
          (net (rename datamux_o_dest_2 "datamux_o_dest[2]") (joined
           (portRef datamux_o_dest_2)
           (portRef B (instanceRef IX_7_2))
           (portRef B (instanceRef IY_7_2))
           (portRef A (instanceRef un1_data_w_0_2))
           (portRef A (instanceRef un1_SS_0_2))
           (portRef A (instanceRef ACCA_RNO_2))
           (portRef A (instanceRef PC_lm_0_bm_2))
           (portRef D (instanceRef ACCB_2))
           (portRef D (instanceRef DP_2))
           (portRef D (instanceRef zff_pipe))
          ))
          (net (rename datamux_o_dest_3 "datamux_o_dest[3]") (joined
           (portRef datamux_o_dest_3)
           (portRef A (instanceRef un1_data_w_0_3))
           (portRef B (instanceRef IX_7_3))
           (portRef B (instanceRef IY_7_3))
           (portRef A (instanceRef un1_SS_0_3))
           (portRef A (instanceRef ACCA_RNO_3))
           (portRef A (instanceRef PC_lm_0_bm_3))
           (portRef D (instanceRef ACCB_3))
           (portRef D (instanceRef DP_3))
           (portRef D (instanceRef nff_pipe))
          ))
          (net (rename datamux_o_dest_5 "datamux_o_dest[5]") (joined
           (portRef datamux_o_dest_5)
           (portRef B (instanceRef IX_7_5))
           (portRef B (instanceRef IY_7_5))
           (portRef A (instanceRef un1_data_w_0_5))
           (portRef A (instanceRef un1_SS_0_5))
           (portRef A (instanceRef ACCA_RNO_5))
           (portRef A (instanceRef PC_lm_0_bm_5))
           (portRef D (instanceRef ACCB_5))
           (portRef D (instanceRef DP_5))
           (portRef D (instanceRef hflag_pipe))
          ))
          (net (rename datamux_o_dest_0 "datamux_o_dest[0]") (joined
           (portRef datamux_o_dest_0)
           (portRef A (instanceRef un1_data_w_i_m3_0_0))
           (portRef B (instanceRef IY_7_i_m3_0))
           (portRef B (instanceRef IX_7_i_m3_0))
           (portRef A (instanceRef ACCA_RNO_0))
           (portRef B (instanceRef PC_lm_0_bm_0))
           (portRef A (instanceRef SS_RNIC6J71_0))
           (portRef A (instanceRef cff_6_i_a2_8))
           (portRef D (instanceRef ACCB_0))
           (portRef D (instanceRef DP_0))
          ))
          (net un1_write_reg_addr_4_RNI048L (joined
           (portRef Z (instanceRef un1_write_reg_addr_4_RNI048L))
           (portRef SP (instanceRef ACCB_7))
           (portRef SP (instanceRef ACCB_6))
           (portRef SP (instanceRef ACCB_5))
           (portRef SP (instanceRef ACCB_4))
           (portRef SP (instanceRef ACCB_3))
           (portRef SP (instanceRef ACCB_2))
           (portRef SP (instanceRef ACCB_1))
           (portRef SP (instanceRef ACCB_0))
          ))
          (net (rename ACCB_2 "ACCB[2]") (joined
           (portRef Q (instanceRef ACCB_2))
           (portRef C (instanceRef path_right_data_0_2))
           (portRef B (instanceRef un1_eapostbyte_9_6_am_2))
           (portRef B (instanceRef path_left_data_5_am_2))
           (portRef C (instanceRef path_left_data_6_bm_2))
          ))
          (net (rename ACCB_3 "ACCB[3]") (joined
           (portRef Q (instanceRef ACCB_3))
           (portRef B (instanceRef un1_eapostbyte_9_0_2_3))
           (portRef C (instanceRef path_right_data_0_3))
           (portRef C (instanceRef path_left_data_0_3))
           (portRef B (instanceRef path_left_data_1_3))
          ))
          (net (rename datamux_o_dest_4 "datamux_o_dest[4]") (joined
           (portRef datamux_o_dest_4)
           (portRef A (instanceRef un1_data_w_0_4))
           (portRef B (instanceRef IY_7_4))
           (portRef B (instanceRef IX_7_4))
           (portRef A (instanceRef un1_SS_0_4))
           (portRef A (instanceRef ACCA_RNO_4))
           (portRef A (instanceRef PC_lm_0_bm_4))
           (portRef D (instanceRef ACCB_4))
           (portRef D (instanceRef DP_4))
          ))
          (net (rename ACCB_4 "ACCB[4]") (joined
           (portRef Q (instanceRef ACCB_4))
           (portRef D (instanceRef un1_eapostbyte_9_0_0_4))
           (portRef C (instanceRef path_right_data_0_4))
           (portRef C (instanceRef path_left_data_0_4))
           (portRef B (instanceRef path_left_data_1_4))
          ))
          (net (rename ACCB_5 "ACCB[5]") (joined
           (portRef Q (instanceRef ACCB_5))
           (portRef D (instanceRef un1_eapostbyte_9_0_0_5))
           (portRef C (instanceRef path_right_data_0_5))
           (portRef C (instanceRef path_left_data_0_5))
           (portRef B (instanceRef path_left_data_1_5))
           (portRef C (instanceRef path_left_data_6_bm_5))
          ))
          (net (rename datamux_o_dest_6 "datamux_o_dest[6]") (joined
           (portRef datamux_o_dest_6)
           (portRef A (instanceRef un1_data_w_0_6))
           (portRef B (instanceRef IX_7_6))
           (portRef B (instanceRef IY_7_6))
           (portRef A (instanceRef un1_SS_0_6))
           (portRef A (instanceRef ACCA_RNO_6))
           (portRef A (instanceRef PC_lm_0_bm_6))
           (portRef D (instanceRef ACCB_6))
           (portRef D (instanceRef DP_6))
          ))
          (net (rename ACCB_6 "ACCB[6]") (joined
           (portRef Q (instanceRef ACCB_6))
           (portRef C (instanceRef path_right_data_0_6))
           (portRef B (instanceRef un1_eapostbyte_9_6_am_6))
           (portRef C (instanceRef path_left_data_0_6))
           (portRef B (instanceRef path_left_data_1_6))
           (portRef C (instanceRef path_left_data_6_bm_6))
          ))
          (net (rename datamux_o_dest_7 "datamux_o_dest[7]") (joined
           (portRef datamux_o_dest_7)
           (portRef A (instanceRef un1_data_w_0_7))
           (portRef B (instanceRef IX_7_7))
           (portRef B (instanceRef IY_7_7))
           (portRef A (instanceRef un1_SS_0_7))
           (portRef A (instanceRef ACCA_RNO_7))
           (portRef A (instanceRef PC_lm_0_bm_7))
           (portRef D (instanceRef ACCB_7))
           (portRef D (instanceRef DP_7))
          ))
          (net (rename ACCB_7 "ACCB[7]") (joined
           (portRef Q (instanceRef ACCB_7))
           (portRef D (instanceRef un1_eapostbyte_9_0_0_7))
           (portRef D (instanceRef un1_eapostbyte_9_1_o4_0_8))
           (portRef C (instanceRef path_right_data_0_7))
           (portRef C (instanceRef path_left_data_0_7))
           (portRef B (instanceRef path_left_data_5_am_7))
           (portRef C (instanceRef path_left_data_6_bm_7))
          ))
          (net N_852 (joined
           (portRef Z (instanceRef ACCA_RNO_0))
           (portRef D (instanceRef ACCA_0))
          ))
          (net ACCB22_RNI6UCG1 (joined
           (portRef Z (instanceRef ACCB22_RNI6UCG1))
           (portRef SP (instanceRef ACCA_7))
           (portRef SP (instanceRef ACCA_6))
           (portRef SP (instanceRef ACCA_5))
           (portRef SP (instanceRef ACCA_4))
           (portRef SP (instanceRef ACCA_3))
           (portRef SP (instanceRef ACCA_2))
           (portRef SP (instanceRef ACCA_1))
           (portRef SP (instanceRef ACCA_0))
          ))
          (net (rename ACCA_0 "ACCA[0]") (joined
           (portRef Q (instanceRef ACCA_0))
           (portRef B (instanceRef un1_eapostbyte_9_3_0))
           (portRef D (instanceRef un1_eapostbyte_9_1_0_8))
           (portRef B (instanceRef path_left_data_5_am_8))
           (portRef C (instanceRef ACCA_RNI000M2_0))
          ))
          (net N_866 (joined
           (portRef Z (instanceRef ACCA_RNO_1))
           (portRef D (instanceRef ACCA_1))
          ))
          (net N_880 (joined
           (portRef Z (instanceRef ACCA_RNO_2))
           (portRef D (instanceRef ACCA_2))
          ))
          (net (rename ACCA_2 "ACCA[2]") (joined
           (portRef Q (instanceRef ACCA_2))
           (portRef B (instanceRef un1_eapostbyte_9_3_2))
           (portRef D (instanceRef un1_eapostbyte_9_1_0_10))
           (portRef B (instanceRef path_right_data_0_2))
           (portRef B (instanceRef path_left_data_5_am_10))
           (portRef B (instanceRef path_left_data_6_bm_2))
          ))
          (net N_894 (joined
           (portRef Z (instanceRef ACCA_RNO_3))
           (portRef D (instanceRef ACCA_3))
          ))
          (net (rename ACCA_3 "ACCA[3]") (joined
           (portRef Q (instanceRef ACCA_3))
           (portRef C (instanceRef un1_eapostbyte_9_0_a2_0_3))
           (portRef D (instanceRef un1_eapostbyte_9_1_0_11))
           (portRef B (instanceRef path_right_data_0_3))
           (portRef B (instanceRef path_left_data_0_3))
           (portRef B (instanceRef path_left_data_5_am_11))
          ))
          (net N_908 (joined
           (portRef Z (instanceRef ACCA_RNO_4))
           (portRef D (instanceRef ACCA_4))
          ))
          (net (rename ACCA_4 "ACCA[4]") (joined
           (portRef Q (instanceRef ACCA_4))
           (portRef D (instanceRef un1_eapostbyte_9_1_0_12))
           (portRef C (instanceRef un1_eapostbyte_9_0_1_4))
           (portRef B (instanceRef path_right_data_0_4))
           (portRef B (instanceRef path_left_data_0_4))
           (portRef B (instanceRef path_left_data_5_am_12))
          ))
          (net N_922 (joined
           (portRef Z (instanceRef ACCA_RNO_5))
           (portRef D (instanceRef ACCA_5))
          ))
          (net (rename ACCA_5 "ACCA[5]") (joined
           (portRef Q (instanceRef ACCA_5))
           (portRef D (instanceRef un1_eapostbyte_9_1_0_13))
           (portRef C (instanceRef un1_eapostbyte_9_0_1_5))
           (portRef B (instanceRef path_right_data_0_5))
           (portRef B (instanceRef path_left_data_0_5))
           (portRef B (instanceRef path_left_data_5_am_13))
           (portRef B (instanceRef path_left_data_6_bm_5))
          ))
          (net N_936 (joined
           (portRef Z (instanceRef ACCA_RNO_6))
           (portRef D (instanceRef ACCA_6))
          ))
          (net (rename ACCA_6 "ACCA[6]") (joined
           (portRef Q (instanceRef ACCA_6))
           (portRef B (instanceRef un1_eapostbyte_9_3_6))
           (portRef D (instanceRef un1_eapostbyte_9_1_0_14))
           (portRef B (instanceRef path_right_data_0_6))
           (portRef B (instanceRef path_left_data_0_6))
           (portRef B (instanceRef path_left_data_5_am_14))
           (portRef B (instanceRef path_left_data_6_bm_6))
          ))
          (net N_950 (joined
           (portRef Z (instanceRef ACCA_RNO_7))
           (portRef D (instanceRef ACCA_7))
          ))
          (net (rename ACCA_7 "ACCA[7]") (joined
           (portRef Q (instanceRef ACCA_7))
           (portRef C (instanceRef un1_eapostbyte_9_1_o4_0_8))
           (portRef D (instanceRef un1_eapostbyte_9_1_0_15))
           (portRef C (instanceRef un1_eapostbyte_9_0_1_7))
           (portRef B (instanceRef path_right_data_0_7))
           (portRef B (instanceRef path_left_data_0_7))
           (portRef B (instanceRef path_left_data_5_am_15))
           (portRef B (instanceRef path_left_data_6_bm_7))
          ))
          (net (rename mul16_w_7 "mul16_w[7]") (joined
           (portRef (member mul16_w 0))
           (portRef A (instanceRef cff_RNO))
          ))
          (net cff_N_15_mux (joined
           (portRef Z (instanceRef cff_6_i_a2_6_RNI7SMKJ))
           (portRef C0 (instanceRef cff_RNO_1))
           (portRef B (instanceRef cff_RNO))
          ))
          (net cff_RNO_1 (joined
           (portRef Z (instanceRef cff_RNO_1))
           (portRef D (instanceRef cff_RNO))
          ))
          (net cff_6_i_a2_3_1_RNI46OV1 (joined
           (portRef Z (instanceRef cff_6_i_a2_3_1_RNI46OV1))
           (portRef A (instanceRef cff_6_i_a2_6_RNI7SMKJ))
           (portRef A (instanceRef cff_RNO_3))
          ))
          (net cff_6_i_a2_0_RNIKM8CF (joined
           (portRef Z (instanceRef cff_6_i_a2_0_RNIKM8CF))
           (portRef B (instanceRef cff_6_i_a2_6_RNI7SMKJ))
           (portRef B (instanceRef cff_RNO_3))
          ))
          (net N_70 (joined
           (portRef Z (instanceRef cff_6_i_a2_6))
           (portRef C (instanceRef cff_6_i_a2_6_RNI7SMKJ))
           (portRef C (instanceRef cff_RNO_3))
          ))
          (net d_m7_i_2 (joined
           (portRef Z (instanceRef cff_RNO_4))
           (portRef D (instanceRef cff_RNO_3))
          ))
          (net cff_RNO_3 (joined
           (portRef Z (instanceRef cff_RNO_3))
           (portRef BLUT (instanceRef cff_RNO_1))
          ))
          (net un3_daa8h_r_ac0_5 (joined
           (portRef un3_daa8h_r_ac0_5)
           (portRef A (instanceRef cff_RNO_2))
          ))
          (net (rename dec_o_alu_opcode_0 "dec_o_alu_opcode[0]") (joined
           (portRef (member dec_o_alu_opcode 4))
           (portRef A (instanceRef cff_6_i_a2_4_1))
           (portRef A (instanceRef cff_6_i_a2_7_1))
           (portRef A (instanceRef cff_6_i_a2_11_1))
           (portRef A (instanceRef cff_6_i_a2_5_2_1))
           (portRef A (instanceRef cff_6_i_a2_2_2))
           (portRef B (instanceRef cff_6_i_a2_6_2))
           (portRef B (instanceRef un1_dec_o_write_flags))
           (portRef B (instanceRef cff_RNO_2))
           (portRef A (instanceRef cff_RNO_0))
          ))
          (net cff_RNO_2 (joined
           (portRef Z (instanceRef cff_RNO_2))
           (portRef ALUT (instanceRef cff_RNO_1))
          ))
          (net cff_m7_e_3 (joined
           (portRef Z (instanceRef cff_6_i_a2_1_1_RNINCB72))
           (portRef D (instanceRef cff_6_i_a2_6_RNI7SMKJ))
          ))
          (net N_41 (joined
           (portRef Z (instanceRef cff_6_i_m2_1))
           (portRef A (instanceRef cff_6_i_a2_0_RNIKM8CF))
          ))
          (net N_1948 (joined
           (portRef Z (instanceRef cff_6_i_a2_0))
           (portRef B (instanceRef cff_6_i_a2_0_RNIKM8CF))
          ))
          (net cff_6_i_120_0 (joined
           (portRef Z (instanceRef cff_6_i_a2_2_1_RNIVC6JE))
           (portRef C (instanceRef cff_6_i_a2_0_RNIKM8CF))
          ))
          (net cff_6_i_a2_3_0 (joined
           (portRef Z (instanceRef cff_6_i_a2_3_0))
           (portRef D (instanceRef cff_6_i_a2_0_RNIKM8CF))
          ))
          (net N_1954 (joined
           (portRef Z (instanceRef cff_6_i_a2_8))
           (portRef A (instanceRef cff_6_i_a2_8_RNI21S81))
           (portRef A (instanceRef cff_RNO_4))
          ))
          (net N_1961 (joined
           (portRef Z (instanceRef cff_6_i_a2_16))
           (portRef A (instanceRef cff_6_i_a2_0))
           (portRef B (instanceRef cff_6_i_a2_8_RNI21S81))
           (portRef A (instanceRef cff_6_i_a2_1_1_RNINCB72))
           (portRef B (instanceRef cff_RNO_5))
           (portRef B (instanceRef cff_RNO_4))
          ))
          (net cff_6_i_a2_11_1 (joined
           (portRef Z (instanceRef cff_6_i_a2_11_1))
           (portRef D (instanceRef cff_6_i_a2_8_RNI21S81))
           (portRef C (instanceRef cff_RNO_4))
          ))
          (net d_m7_i_1 (joined
           (portRef Z (instanceRef cff_RNO_5))
           (portRef D (instanceRef cff_RNO_4))
          ))
          (net cff_6_i_a2_5_2_1_RNIRCNU (joined
           (portRef Z (instanceRef cff_6_i_a2_5_2_1_RNIRCNU))
           (portRef A (instanceRef cff_6_i_a2_3_1_RNI46OV1))
          ))
          (net N_37 (joined
           (portRef Z (instanceRef cff_6_i_m2_0))
           (portRef B (instanceRef cff_6_i_a2_3_1_RNI46OV1))
          ))
          (net N_67_1 (joined
           (portRef Z (instanceRef cff_6_i_a2_3_1))
           (portRef C (instanceRef cff_6_i_a2_3_1_RNI46OV1))
          ))
          (net cff_6_i_a2_4_1 (joined
           (portRef Z (instanceRef cff_6_i_a2_4_1))
           (portRef D (instanceRef cff_6_i_a2_3_1_RNI46OV1))
          ))
          (net cneg16_r_13 (joined
           (portRef cneg16_r_13)
           (portRef A (instanceRef cff_6_i_a2_6))
          ))
          (net cff_6_i_a2_6_10 (joined
           (portRef Z (instanceRef cff_6_i_a2_6_10))
           (portRef C (instanceRef cff_6_i_a2_6))
          ))
          (net N_38 (joined
           (portRef Z (instanceRef cff_6_i_m2_2))
           (portRef A (instanceRef cff_RNO_5))
          ))
          (net cff_6_i_a2_1_1 (joined
           (portRef Z (instanceRef cff_6_i_a2_1_1))
           (portRef B (instanceRef cff_6_i_a2_1_1_RNINCB72))
           (portRef C (instanceRef cff_RNO_5))
          ))
          (net cff_6_i_a2_7_1 (joined
           (portRef Z (instanceRef cff_6_i_a2_7_1))
           (portRef C (instanceRef cff_6_i_a2_1_1_RNINCB72))
           (portRef D (instanceRef cff_RNO_5))
          ))
          (net cff_m7_e_1 (joined
           (portRef Z (instanceRef cff_6_i_a2_8_RNI21S81))
           (portRef D (instanceRef cff_6_i_a2_1_1_RNINCB72))
          ))
          (net cff_6_i_a2_2_1 (joined
           (portRef Z (instanceRef cff_6_i_a2_2_1))
           (portRef B (instanceRef cff_6_i_a2_2_1_RNIVC6JE))
          ))
          (net N_1959 (joined
           (portRef Z (instanceRef cff_6_i_a2_15))
           (portRef A (instanceRef cff_6_i_a2_5_2_1_RNIRCNU))
           (portRef A (instanceRef cff_6_i_a2_6_10))
          ))
          (net cff_6_i_a2_6_7 (joined
           (portRef Z (instanceRef cff_6_i_a2_6_7))
           (portRef B (instanceRef cff_6_i_a2_6_10))
          ))
          (net cff_6_i_a2_6_8 (joined
           (portRef Z (instanceRef cff_6_i_a2_6_8))
           (portRef C (instanceRef cff_6_i_a2_6_10))
          ))
          (net cff_6_i_a2_5_2_1 (joined
           (portRef Z (instanceRef cff_6_i_a2_5_2_1))
           (portRef C (instanceRef cff_6_i_a2_5_2_1_RNIRCNU))
          ))
          (net cff_6_i_a2_12_2_1 (joined
           (portRef Z (instanceRef cff_6_i_a2_12_2_1))
           (portRef D (instanceRef cff_6_i_a2_5_2_1_RNIRCNU))
          ))
          (net CCRo_sn_N_2 (joined
           (portRef CCRo_sn_N_2)
           (portRef A (instanceRef cff_6_i_a2_3_1))
           (portRef A (instanceRef cff_6_i_a2_16))
           (portRef A (instanceRef cff_6_i_a2_15))
           (portRef B (instanceRef cff_6_i_a2_3_0))
           (portRef A (instanceRef cff_6_i_a2_1_1))
           (portRef A (instanceRef datamux_o_alu_in_right_path_data_i_m2_RNIVJV3E_0))
          ))
          (net cff_6_i_a2_1_1_0 (joined
           (portRef Z (instanceRef cff_6_i_a2_1_1_0))
           (portRef C (instanceRef cff_6_i_a2_1_1))
          ))
          (net (rename dec_o_alu_opcode_2 "dec_o_alu_opcode[2]") (joined
           (portRef (member dec_o_alu_opcode 2))
           (portRef C (instanceRef cff_6_i_a2_4_1))
           (portRef C (instanceRef cff_6_i_a2_11_1))
           (portRef C (instanceRef cff_6_i_a2_5_2_1))
           (portRef C (instanceRef cff_6_i_a2_2_2))
           (portRef B (instanceRef cff_6_i_a2_0))
           (portRef C (instanceRef cff_6_i_a2_8_RNI21S81))
          ))
          (net (rename dec_o_alu_opcode_4 "dec_o_alu_opcode[4]") (joined
           (portRef (member dec_o_alu_opcode 0))
           (portRef D (instanceRef cff_6_i_a2_7_1))
           (portRef B (instanceRef cff_6_i_a2_2_1))
           (portRef B (instanceRef cff_6_i_a2_3_1))
           (portRef B (instanceRef cff_6_i_a2_15))
           (portRef C (instanceRef cff_6_i_a2_3_0))
           (portRef C (instanceRef cff_6_i_a2_0))
           (portRef B (instanceRef cff_6_i_a2_1_1))
          ))
          (net cff_1_sqmuxa_1_0 (joined
           (portRef Z (instanceRef cff_1_sqmuxa_1))
           (portRef B (instanceRef cff_1_sqmuxa_1_RNIJDPB1))
          ))
          (net cpu_clk (joined
           (portRef cpu_clk)
           (portRef D (instanceRef datamux_o_dest_reg_addr_RNI405O_0))
           (portRef D (instanceRef ACCB22_RNI6UCG1))
           (portRef C (instanceRef un1_write_reg_addr_4_RNI048L))
           (portRef D (instanceRef IX_1_sqmuxa_RNI4SNK))
           (portRef D (instanceRef IY_1_sqmuxa_1_RNILILD))
           (portRef D (instanceRef k_memlo_1_sqmuxa_RNIJI2O))
           (portRef D (instanceRef cff_1_sqmuxa_1_RNIJDPB1))
           (portRef C (instanceRef un1_dec_o_ea_ofs8_1_RNIC5IP1))
          ))
          (net (rename neg16_w_1 "neg16_w[1]") (joined
           (portRef (member neg16_w 6))
           (portRef A (instanceRef cff_6_i_a2_6_7))
          ))
          (net (rename neg16_w_2 "neg16_w[2]") (joined
           (portRef (member neg16_w 5))
           (portRef B (instanceRef cff_6_i_a2_6_7))
          ))
          (net (rename neg16_w_3 "neg16_w[3]") (joined
           (portRef (member neg16_w 4))
           (portRef C (instanceRef cff_6_i_a2_6_7))
          ))
          (net cff_6_i_a2_6_2 (joined
           (portRef Z (instanceRef cff_6_i_a2_6_2))
           (portRef D (instanceRef cff_6_i_a2_6_7))
          ))
          (net cpu_reset_i_4 (joined
           (portRef cpu_reset_i_4)
           (portRef C (instanceRef un1_state_24_1_RNICQBV1))
           (portRef C (instanceRef un1_k_cpu_addr_2_sqmuxa))
           (portRef A (instanceRef k_memlo_1_sqmuxa_RNIJI2O))
           (portRef D (instanceRef un1_dec_o_ea_ofs8_1_RNIC5IP1))
          ))
          (net k_memlo_1_sqmuxa (joined
           (portRef Z (instanceRef k_memlo_1_sqmuxa))
           (portRef B (instanceRef k_memlo_1_sqmuxa_RNIJI2O))
          ))
          (net k_memlo_1_sqmuxa_RNIJI2O (joined
           (portRef Z (instanceRef k_memlo_1_sqmuxa_RNIJI2O))
           (portRef k_memlo_1_sqmuxa_RNIJI2O)
          ))
          (net (rename state_23_iv_3_0 "state_23_iv_3[0]") (joined
           (portRef Z (instanceRef state_23_iv_3_0))
           (portRef B (instanceRef state_23_iv_0))
          ))
          (net (rename state_cnst_m_9_0 "state_cnst_m_9[0]") (joined
           (portRef Z (instanceRef state_23_iv_RNO_0_0))
           (portRef C (instanceRef state_23_iv_0))
          ))
          (net (rename state_23_0 "state_23[0]") (joined
           (portRef Z (instanceRef state_23_iv_0))
           (portRef state_23_0)
          ))
          (net (rename state_23_iv_1_3 "state_23_iv_1[3]") (joined
           (portRef Z (instanceRef state_23_iv_1_3))
           (portRef B (instanceRef state_23_iv_3))
          ))
          (net (rename state_cnst_3 "state_cnst[3]") (joined
           (portRef Z (instanceRef state_cnst_0_3))
           (portRef C (instanceRef state_23_iv_3))
          ))
          (net un1_state_110 (joined
           (portRef un1_state_110)
           (portRef D (instanceRef state_23_iv_RNO_0_1))
           (portRef D (instanceRef state_23_0_iv_i_RNO_4))
           (portRef D (instanceRef state_23_iv_3))
           (portRef D (instanceRef state_23_iv_0))
          ))
          (net (rename state_23_3 "state_23[3]") (joined
           (portRef Z (instanceRef state_23_iv_3))
           (portRef state_23_3)
          ))
          (net (rename state_23_iv_0_1 "state_23_iv_0[1]") (joined
           (portRef Z (instanceRef state_23_iv_0_1))
           (portRef B (instanceRef state_23_iv_1))
          ))
          (net state_1_m (joined
           (portRef Z (instanceRef un1_state_33_RNIRI5T2))
           (portRef C (instanceRef state_23_iv_3_0))
           (portRef C (instanceRef state_23_iv_1))
          ))
          (net (rename state_cnst_m_1 "state_cnst_m[1]") (joined
           (portRef Z (instanceRef state_23_iv_RNO_0_1))
           (portRef D (instanceRef state_23_iv_1))
          ))
          (net (rename state_23_1 "state_23[1]") (joined
           (portRef Z (instanceRef state_23_iv_1))
           (portRef state_23_1)
          ))
          (net N_802 (joined
           (portRef Z (instanceRef state_cnst_i_a9_4))
           (portRef A (instanceRef state_23_0_iv_i_RNO_4))
          ))
          (net N_810 (joined
           (portRef Z (instanceRef state_cnst_i_a9_7_4))
           (portRef B (instanceRef state_23_0_iv_i_RNO_4))
          ))
          (net (rename state_cnst_i_6_4 "state_cnst_i_6[4]") (joined
           (portRef Z (instanceRef state_cnst_i_6_4))
           (portRef C (instanceRef state_23_0_iv_i_RNO_4))
          ))
          (net N_710_m (joined
           (portRef Z (instanceRef state_23_0_iv_i_RNO_4))
           (portRef B (instanceRef state_23_0_iv_i_4))
          ))
          (net N_774 (joined
           (portRef Z (instanceRef state_cnst_0_a9_4_1))
           (portRef A (instanceRef state_23_iv_RNO_0_1))
          ))
          (net (rename state_cnst_0_9_1 "state_cnst_0_9[1]") (joined
           (portRef Z (instanceRef state_cnst_0_9_1))
           (portRef B (instanceRef state_23_iv_RNO_0_1))
          ))
          (net (rename state_cnst_0_10_1 "state_cnst_0_10[1]") (joined
           (portRef Z (instanceRef state_cnst_0_10_1))
           (portRef C (instanceRef state_23_iv_RNO_0_1))
          ))
          (net N_1992 (joined
           (portRef Z (instanceRef un1_data_w_i_m3_0_0))
           (portRef A (instanceRef un1_data_w_i_m3_0_RNIB76G_0))
          ))
          (net (rename SU_0 "SU[0]") (joined
           (portRef Q (instanceRef SU_0))
           (portRef C (instanceRef ea_reg_3_i_m3_am_0))
           (portRef C (instanceRef path_left_data_i_m3_2_bm_0))
           (portRef C (instanceRef k_cpu_addr_26_iv_0_a2_0))
           (portRef B (instanceRef un1_data_w_i_m3_0_RNIB76G_0))
           (portRef SU_0)
          ))
          (net un1_SU_3_sqmuxa (joined
           (portRef Z (instanceRef un1_SU_3_sqmuxa))
           (portRef C (instanceRef un1_data_w_1_s_15_0_RNO))
           (portRef C (instanceRef un1_data_w_1_cry_13_0_RNO_0))
           (portRef C (instanceRef un1_data_w_1_cry_13_0_RNO))
           (portRef C (instanceRef un1_data_w_1_cry_11_0_RNO_0))
           (portRef C (instanceRef un1_data_w_1_cry_11_0_RNO))
           (portRef C (instanceRef un1_data_w_1_cry_9_0_RNO_0))
           (portRef C (instanceRef un1_data_w_1_cry_9_0_RNO))
           (portRef C (instanceRef un1_data_w_1_cry_7_0_RNO_0))
           (portRef C (instanceRef un1_data_w_1_cry_7_0_RNO))
           (portRef C (instanceRef un1_data_w_1_cry_5_0_RNO_0))
           (portRef C (instanceRef un1_data_w_1_cry_5_0_RNO))
           (portRef C (instanceRef un1_data_w_1_cry_3_0_RNO_0))
           (portRef C (instanceRef un1_data_w_1_cry_3_0_RNO))
           (portRef C (instanceRef un1_data_w_1_cry_1_0_RNO_0))
           (portRef C (instanceRef un1_data_w_1_cry_1_0_RNO))
           (portRef C (instanceRef un1_data_w_i_m3_0_RNIB76G_0))
          ))
          (net N_202 (joined
           (portRef Z (instanceRef un1_data_w_0_1))
           (portRef A (instanceRef un1_data_w_1_cry_1_0_RNO))
          ))
          (net (rename SU_1 "SU[1]") (joined
           (portRef Q (instanceRef SU_1))
           (portRef C (instanceRef ea_reg_3_am_1))
           (portRef C (instanceRef path_left_data_5_bm_1))
           (portRef B (instanceRef un1_data_w_1_cry_1_0_RNO))
           (portRef SU_1)
          ))
          (net N_220 (joined
           (portRef Z (instanceRef un1_data_w_1_cry_1_0_RNO))
           (portRef C0 (instanceRef un1_data_w_1_cry_1_0))
          ))
          (net N_203 (joined
           (portRef Z (instanceRef un1_data_w_0_2))
           (portRef A (instanceRef un1_data_w_1_cry_1_0_RNO_0))
          ))
          (net N_221 (joined
           (portRef Z (instanceRef un1_data_w_1_cry_1_0_RNO_0))
           (portRef C1 (instanceRef un1_data_w_1_cry_1_0))
          ))
          (net N_204 (joined
           (portRef Z (instanceRef un1_data_w_0_3))
           (portRef A (instanceRef un1_data_w_1_cry_3_0_RNO))
          ))
          (net (rename SU_3 "SU[3]") (joined
           (portRef Q (instanceRef SU_3))
           (portRef C (instanceRef ea_reg_3_am_3))
           (portRef C (instanceRef path_left_data_3_3))
           (portRef B (instanceRef un1_data_w_1_cry_3_0_RNO))
           (portRef SU_3)
          ))
          (net N_222 (joined
           (portRef Z (instanceRef un1_data_w_1_cry_3_0_RNO))
           (portRef C0 (instanceRef un1_data_w_1_cry_3_0))
          ))
          (net N_205 (joined
           (portRef Z (instanceRef un1_data_w_0_4))
           (portRef A (instanceRef un1_data_w_1_cry_3_0_RNO_0))
          ))
          (net (rename SU_4 "SU[4]") (joined
           (portRef Q (instanceRef SU_4))
           (portRef C (instanceRef ea_reg_3_am_4))
           (portRef C (instanceRef path_left_data_3_4))
           (portRef B (instanceRef un1_data_w_1_cry_3_0_RNO_0))
           (portRef SU_4)
          ))
          (net N_223 (joined
           (portRef Z (instanceRef un1_data_w_1_cry_3_0_RNO_0))
           (portRef C1 (instanceRef un1_data_w_1_cry_3_0))
          ))
          (net N_206 (joined
           (portRef Z (instanceRef un1_data_w_0_5))
           (portRef A (instanceRef un1_data_w_1_cry_5_0_RNO))
          ))
          (net N_224 (joined
           (portRef Z (instanceRef un1_data_w_1_cry_5_0_RNO))
           (portRef C0 (instanceRef un1_data_w_1_cry_5_0))
          ))
          (net N_207 (joined
           (portRef Z (instanceRef un1_data_w_0_6))
           (portRef A (instanceRef un1_data_w_1_cry_5_0_RNO_0))
          ))
          (net (rename SU_6 "SU[6]") (joined
           (portRef Q (instanceRef SU_6))
           (portRef C (instanceRef ea_reg_3_am_6))
           (portRef C (instanceRef path_left_data_3_6))
           (portRef B (instanceRef un1_data_w_1_cry_5_0_RNO_0))
           (portRef SU_6)
          ))
          (net N_225 (joined
           (portRef Z (instanceRef un1_data_w_1_cry_5_0_RNO_0))
           (portRef C1 (instanceRef un1_data_w_1_cry_5_0))
          ))
          (net N_208 (joined
           (portRef Z (instanceRef un1_data_w_0_7))
           (portRef A (instanceRef un1_data_w_1_cry_7_0_RNO))
          ))
          (net (rename SU_7 "SU[7]") (joined
           (portRef Q (instanceRef SU_7))
           (portRef C (instanceRef ea_reg_3_am_7))
           (portRef C (instanceRef path_left_data_5_bm_7))
           (portRef B (instanceRef un1_data_w_1_cry_7_0_RNO))
           (portRef SU_7)
          ))
          (net N_226 (joined
           (portRef Z (instanceRef un1_data_w_1_cry_7_0_RNO))
           (portRef C0 (instanceRef un1_data_w_1_cry_7_0))
          ))
          (net N_209 (joined
           (portRef Z (instanceRef un1_data_w_0_8))
           (portRef A (instanceRef un1_data_w_1_cry_7_0_RNO_0))
          ))
          (net (rename SU_8 "SU[8]") (joined
           (portRef Q (instanceRef SU_8))
           (portRef C (instanceRef ea_reg_3_am_8))
           (portRef C (instanceRef path_left_data_5_bm_8))
           (portRef B (instanceRef un1_data_w_1_cry_7_0_RNO_0))
           (portRef SU_8)
          ))
          (net N_227 (joined
           (portRef Z (instanceRef un1_data_w_1_cry_7_0_RNO_0))
           (portRef C1 (instanceRef un1_data_w_1_cry_7_0))
          ))
          (net N_210 (joined
           (portRef Z (instanceRef un1_data_w_0_9))
           (portRef A (instanceRef un1_data_w_1_cry_9_0_RNO))
          ))
          (net N_228 (joined
           (portRef Z (instanceRef un1_data_w_1_cry_9_0_RNO))
           (portRef C0 (instanceRef un1_data_w_1_cry_9_0))
          ))
          (net N_211 (joined
           (portRef Z (instanceRef un1_data_w_0_10))
           (portRef A (instanceRef un1_data_w_1_cry_9_0_RNO_0))
          ))
          (net N_229 (joined
           (portRef Z (instanceRef un1_data_w_1_cry_9_0_RNO_0))
           (portRef C1 (instanceRef un1_data_w_1_cry_9_0))
          ))
          (net N_212 (joined
           (portRef Z (instanceRef un1_data_w_0_11))
           (portRef A (instanceRef un1_data_w_1_cry_11_0_RNO))
          ))
          (net N_230 (joined
           (portRef Z (instanceRef un1_data_w_1_cry_11_0_RNO))
           (portRef C0 (instanceRef un1_data_w_1_cry_11_0))
          ))
          (net N_213 (joined
           (portRef Z (instanceRef un1_data_w_0_12))
           (portRef A (instanceRef un1_data_w_1_cry_11_0_RNO_0))
          ))
          (net (rename SU_12 "SU[12]") (joined
           (portRef Q (instanceRef SU_12))
           (portRef C (instanceRef ea_reg_3_i_m3_am_12))
           (portRef C (instanceRef path_left_data_5_bm_12))
           (portRef B (instanceRef un1_data_w_1_cry_11_0_RNO_0))
           (portRef SU_12)
          ))
          (net N_231 (joined
           (portRef Z (instanceRef un1_data_w_1_cry_11_0_RNO_0))
           (portRef C1 (instanceRef un1_data_w_1_cry_11_0))
          ))
          (net N_214 (joined
           (portRef Z (instanceRef un1_data_w_0_13))
           (portRef A (instanceRef un1_data_w_1_cry_13_0_RNO))
          ))
          (net N_232 (joined
           (portRef Z (instanceRef un1_data_w_1_cry_13_0_RNO))
           (portRef C0 (instanceRef un1_data_w_1_cry_13_0))
          ))
          (net N_215 (joined
           (portRef Z (instanceRef un1_data_w_0_14))
           (portRef A (instanceRef un1_data_w_1_cry_13_0_RNO_0))
          ))
          (net (rename SU_14 "SU[14]") (joined
           (portRef Q (instanceRef SU_14))
           (portRef C (instanceRef ea_reg_3_am_14))
           (portRef C (instanceRef path_left_data_5_bm_14))
           (portRef B (instanceRef un1_data_w_1_cry_13_0_RNO_0))
           (portRef SU_14)
          ))
          (net N_233 (joined
           (portRef Z (instanceRef un1_data_w_1_cry_13_0_RNO_0))
           (portRef C1 (instanceRef un1_data_w_1_cry_13_0))
          ))
          (net N_216 (joined
           (portRef Z (instanceRef un1_data_w_0_15))
           (portRef A (instanceRef un1_data_w_1_s_15_0_RNO))
          ))
          (net (rename SU_15 "SU[15]") (joined
           (portRef Q (instanceRef SU_15))
           (portRef C (instanceRef ea_reg_3_am_15))
           (portRef C (instanceRef path_left_data_5_bm_15))
           (portRef B (instanceRef un1_data_w_1_s_15_0_RNO))
           (portRef SU_15)
          ))
          (net N_234 (joined
           (portRef Z (instanceRef un1_data_w_1_s_15_0_RNO))
           (portRef A0 (instanceRef un1_data_w_1_s_15_0))
          ))
          (net (rename SS_0 "SS[0]") (joined
           (portRef Q (instanceRef SS_0))
           (portRef C (instanceRef ea_reg_3_i_m3_bm_0))
           (portRef B (instanceRef path_left_data_i_m3_1_0))
           (portRef B (instanceRef k_cpu_addr_26_iv_0_a2_0))
           (portRef B (instanceRef SS_RNIC6J71_0))
           (portRef SS_0)
          ))
          (net SS_2_sqmuxa_1 (joined
           (portRef Z (instanceRef SS_2_sqmuxa_1))
           (portRef C (instanceRef un1_SS_0_1))
           (portRef C (instanceRef un1_SS_0_2))
           (portRef C (instanceRef un1_SS_0_3))
           (portRef C (instanceRef un1_SS_0_4))
           (portRef C (instanceRef un1_SS_0_5))
           (portRef C (instanceRef un1_SS_0_6))
           (portRef C (instanceRef un1_SS_0_7))
           (portRef C (instanceRef un1_SS_0_8))
           (portRef C (instanceRef un1_SS_0_9))
           (portRef C (instanceRef un1_SS_0_10))
           (portRef C (instanceRef un1_SS_0_11))
           (portRef C (instanceRef un1_SS_0_12))
           (portRef C (instanceRef un1_SS_0_13))
           (portRef C (instanceRef un1_SS_0_14))
           (portRef C (instanceRef un1_SS_0_15))
           (portRef C (instanceRef SS_RNIC6J71_0))
          ))
          (net (rename SS_RNIC6J71_0 "SS_RNIC6J71[0]") (joined
           (portRef Z (instanceRef SS_RNIC6J71_0))
           (portRef BLUT (instanceRef SS_3_sqmuxa_RNI8P4U1))
          ))
          (net N_117 (joined
           (portRef Z (instanceRef ea_reg_3_i_m3_0))
           (portRef A1 (instanceRef un1_ea_reg_cry_0_0))
           (portRef A (instanceRef un1_ea_reg_axb_0))
           (portRef A (instanceRef un1_PC_1_1_m2_0))
           (portRef A (instanceRef ea_reg_post15_RNI16QG_0))
          ))
          (net (rename ea_reg_post15_0 "ea_reg_post15[0]") (joined
           (portRef Z (instanceRef ea_reg_post15_0))
           (portRef B1 (instanceRef un1_ea_reg_cry_0_0))
           (portRef B (instanceRef un1_ea_reg_axb_0))
           (portRef C (instanceRef un1_eapostbyte_9_3_0))
           (portRef B (instanceRef ea_reg_post15_RNI16QG_0))
          ))
          (net (rename ea_reg_post17_0 "ea_reg_post17[0]") (joined
           (portRef Z (instanceRef ea_reg_post17_0))
           (portRef C1 (instanceRef un1_ea_reg_cry_0_0))
           (portRef B1 (instanceRef un1_ea_reg_cry_1_0))
           (portRef B0 (instanceRef un1_ea_reg_cry_1_0))
           (portRef B1 (instanceRef un1_ea_reg_cry_3_0))
           (portRef B0 (instanceRef un1_ea_reg_cry_3_0))
           (portRef B1 (instanceRef un1_ea_reg_cry_5_0))
           (portRef B0 (instanceRef un1_ea_reg_cry_5_0))
           (portRef B1 (instanceRef un1_ea_reg_cry_7_0))
           (portRef B0 (instanceRef un1_ea_reg_cry_7_0))
           (portRef B1 (instanceRef un1_ea_reg_cry_9_0))
           (portRef B0 (instanceRef un1_ea_reg_cry_9_0))
           (portRef B1 (instanceRef un1_ea_reg_cry_11_0))
           (portRef B0 (instanceRef un1_ea_reg_cry_11_0))
           (portRef B1 (instanceRef un1_ea_reg_cry_13_0))
           (portRef B0 (instanceRef un1_ea_reg_cry_13_0))
           (portRef C0 (instanceRef un1_ea_reg_s_15_0))
           (portRef C (instanceRef un1_ea_reg_axb_0))
           (portRef C (instanceRef un1_eapostbyte_9_0_o2_4))
           (portRef D (instanceRef un1_eapostbyte_9_3_0))
           (portRef C (instanceRef un1_eapostbyte_9_3_6))
           (portRef C (instanceRef un1_eapostbyte_9_3_2))
           (portRef C (instanceRef ea_reg_post15_RNI16QG_0))
          ))
          (net (rename ea_reg_post15_RNI16QG_0 "ea_reg_post15_RNI16QG[0]") (joined
           (portRef Z (instanceRef ea_reg_post15_RNI16QG_0))
           (portRef ALUT (instanceRef SS_3_sqmuxa_RNI8P4U1))
          ))
          (net SS_3_sqmuxa (joined
           (portRef Z (instanceRef SS_3_sqmuxa))
           (portRef B (instanceRef un1_SS_1_s_15_0_RNO))
           (portRef B (instanceRef un1_SS_1_cry_13_0_RNO_0))
           (portRef B (instanceRef un1_SS_1_cry_13_0_RNO))
           (portRef B (instanceRef un1_SS_1_cry_11_0_RNO_0))
           (portRef B (instanceRef un1_SS_1_cry_11_0_RNO))
           (portRef B (instanceRef un1_SS_1_cry_9_0_RNO_0))
           (portRef B (instanceRef un1_SS_1_cry_9_0_RNO))
           (portRef B (instanceRef un1_SS_1_cry_7_0_RNO_0))
           (portRef B (instanceRef un1_SS_1_cry_7_0_RNO))
           (portRef B (instanceRef un1_SS_1_cry_5_0_RNO_0))
           (portRef B (instanceRef un1_SS_1_cry_5_0_RNO))
           (portRef B (instanceRef un1_SS_1_cry_3_0_RNO_0))
           (portRef B (instanceRef un1_SS_1_cry_3_0_RNO))
           (portRef B (instanceRef un1_SS_1_cry_1_0_RNO_0))
           (portRef B (instanceRef un1_SS_1_cry_1_0_RNO))
           (portRef C0 (instanceRef SS_3_sqmuxa_RNI8P4U1))
          ))
          (net N_667 (joined
           (portRef Z (instanceRef un1_SS_0_1))
           (portRef A (instanceRef un1_SS_1_cry_1_0_RNO))
          ))
          (net (rename un1_ea_reg_1 "un1_ea_reg[1]") (joined
           (portRef S0 (instanceRef un1_ea_reg_cry_1_0))
           (portRef D (instanceRef IX_7_1))
           (portRef D (instanceRef IY_7_1))
           (portRef C (instanceRef un1_data_w_0_1))
           (portRef C (instanceRef un1_SS_1_cry_1_0_RNO))
          ))
          (net N_685 (joined
           (portRef Z (instanceRef un1_SS_1_cry_1_0_RNO))
           (portRef C0 (instanceRef un1_SS_1_cry_1_0))
          ))
          (net N_668 (joined
           (portRef Z (instanceRef un1_SS_0_2))
           (portRef A (instanceRef un1_SS_1_cry_1_0_RNO_0))
          ))
          (net (rename un1_ea_reg_2 "un1_ea_reg[2]") (joined
           (portRef S1 (instanceRef un1_ea_reg_cry_1_0))
           (portRef D (instanceRef IX_7_2))
           (portRef D (instanceRef IY_7_2))
           (portRef C (instanceRef un1_data_w_0_2))
           (portRef C (instanceRef un1_SS_1_cry_1_0_RNO_0))
          ))
          (net N_686 (joined
           (portRef Z (instanceRef un1_SS_1_cry_1_0_RNO_0))
           (portRef C1 (instanceRef un1_SS_1_cry_1_0))
          ))
          (net N_669 (joined
           (portRef Z (instanceRef un1_SS_0_3))
           (portRef A (instanceRef un1_SS_1_cry_3_0_RNO))
          ))
          (net (rename un1_ea_reg_3 "un1_ea_reg[3]") (joined
           (portRef S0 (instanceRef un1_ea_reg_cry_3_0))
           (portRef C (instanceRef un1_data_w_0_3))
           (portRef D (instanceRef IX_7_3))
           (portRef D (instanceRef IY_7_3))
           (portRef C (instanceRef un1_SS_1_cry_3_0_RNO))
          ))
          (net N_687 (joined
           (portRef Z (instanceRef un1_SS_1_cry_3_0_RNO))
           (portRef C0 (instanceRef un1_SS_1_cry_3_0))
          ))
          (net N_670 (joined
           (portRef Z (instanceRef un1_SS_0_4))
           (portRef A (instanceRef un1_SS_1_cry_3_0_RNO_0))
          ))
          (net (rename un1_ea_reg_4 "un1_ea_reg[4]") (joined
           (portRef S1 (instanceRef un1_ea_reg_cry_3_0))
           (portRef C (instanceRef un1_data_w_0_4))
           (portRef D (instanceRef IY_7_4))
           (portRef D (instanceRef IX_7_4))
           (portRef C (instanceRef un1_SS_1_cry_3_0_RNO_0))
          ))
          (net N_688 (joined
           (portRef Z (instanceRef un1_SS_1_cry_3_0_RNO_0))
           (portRef C1 (instanceRef un1_SS_1_cry_3_0))
          ))
          (net N_671 (joined
           (portRef Z (instanceRef un1_SS_0_5))
           (portRef A (instanceRef un1_SS_1_cry_5_0_RNO))
          ))
          (net (rename un1_ea_reg_5 "un1_ea_reg[5]") (joined
           (portRef S0 (instanceRef un1_ea_reg_cry_5_0))
           (portRef D (instanceRef IX_7_5))
           (portRef D (instanceRef IY_7_5))
           (portRef C (instanceRef un1_data_w_0_5))
           (portRef C (instanceRef un1_SS_1_cry_5_0_RNO))
          ))
          (net N_689 (joined
           (portRef Z (instanceRef un1_SS_1_cry_5_0_RNO))
           (portRef C0 (instanceRef un1_SS_1_cry_5_0))
          ))
          (net N_672 (joined
           (portRef Z (instanceRef un1_SS_0_6))
           (portRef A (instanceRef un1_SS_1_cry_5_0_RNO_0))
          ))
          (net (rename un1_ea_reg_6 "un1_ea_reg[6]") (joined
           (portRef S1 (instanceRef un1_ea_reg_cry_5_0))
           (portRef C (instanceRef un1_data_w_0_6))
           (portRef D (instanceRef IX_7_6))
           (portRef D (instanceRef IY_7_6))
           (portRef C (instanceRef un1_SS_1_cry_5_0_RNO_0))
          ))
          (net N_690 (joined
           (portRef Z (instanceRef un1_SS_1_cry_5_0_RNO_0))
           (portRef C1 (instanceRef un1_SS_1_cry_5_0))
          ))
          (net N_673 (joined
           (portRef Z (instanceRef un1_SS_0_7))
           (portRef A (instanceRef un1_SS_1_cry_7_0_RNO))
          ))
          (net (rename un1_ea_reg_7 "un1_ea_reg[7]") (joined
           (portRef S0 (instanceRef un1_ea_reg_cry_7_0))
           (portRef C (instanceRef un1_data_w_0_7))
           (portRef D (instanceRef IX_7_7))
           (portRef D (instanceRef IY_7_7))
           (portRef C (instanceRef un1_SS_1_cry_7_0_RNO))
          ))
          (net N_691 (joined
           (portRef Z (instanceRef un1_SS_1_cry_7_0_RNO))
           (portRef C0 (instanceRef un1_SS_1_cry_7_0))
          ))
          (net N_674 (joined
           (portRef Z (instanceRef un1_SS_0_8))
           (portRef A (instanceRef un1_SS_1_cry_7_0_RNO_0))
          ))
          (net (rename un1_ea_reg_8 "un1_ea_reg[8]") (joined
           (portRef S1 (instanceRef un1_ea_reg_cry_7_0))
           (portRef D (instanceRef IX_7_8))
           (portRef D (instanceRef IY_7_8))
           (portRef C (instanceRef un1_data_w_0_8))
           (portRef C (instanceRef un1_SS_1_cry_7_0_RNO_0))
          ))
          (net N_692 (joined
           (portRef Z (instanceRef un1_SS_1_cry_7_0_RNO_0))
           (portRef C1 (instanceRef un1_SS_1_cry_7_0))
          ))
          (net N_675 (joined
           (portRef Z (instanceRef un1_SS_0_9))
           (portRef A (instanceRef un1_SS_1_cry_9_0_RNO))
          ))
          (net (rename un1_ea_reg_9 "un1_ea_reg[9]") (joined
           (portRef S0 (instanceRef un1_ea_reg_cry_9_0))
           (portRef D (instanceRef IX_7_9))
           (portRef D (instanceRef IY_7_9))
           (portRef C (instanceRef un1_data_w_0_9))
           (portRef C (instanceRef un1_SS_1_cry_9_0_RNO))
          ))
          (net N_693 (joined
           (portRef Z (instanceRef un1_SS_1_cry_9_0_RNO))
           (portRef C0 (instanceRef un1_SS_1_cry_9_0))
          ))
          (net N_676 (joined
           (portRef Z (instanceRef un1_SS_0_10))
           (portRef A (instanceRef un1_SS_1_cry_9_0_RNO_0))
          ))
          (net (rename un1_ea_reg_10 "un1_ea_reg[10]") (joined
           (portRef S1 (instanceRef un1_ea_reg_cry_9_0))
           (portRef D (instanceRef IX_7_10))
           (portRef D (instanceRef IY_7_10))
           (portRef C (instanceRef un1_data_w_0_10))
           (portRef C (instanceRef un1_SS_1_cry_9_0_RNO_0))
          ))
          (net N_694 (joined
           (portRef Z (instanceRef un1_SS_1_cry_9_0_RNO_0))
           (portRef C1 (instanceRef un1_SS_1_cry_9_0))
          ))
          (net N_677 (joined
           (portRef Z (instanceRef un1_SS_0_11))
           (portRef A (instanceRef un1_SS_1_cry_11_0_RNO))
          ))
          (net (rename un1_ea_reg_11 "un1_ea_reg[11]") (joined
           (portRef S0 (instanceRef un1_ea_reg_cry_11_0))
           (portRef D (instanceRef IX_7_11))
           (portRef D (instanceRef IY_7_11))
           (portRef C (instanceRef un1_data_w_0_11))
           (portRef C (instanceRef un1_SS_1_cry_11_0_RNO))
          ))
          (net N_695 (joined
           (portRef Z (instanceRef un1_SS_1_cry_11_0_RNO))
           (portRef C0 (instanceRef un1_SS_1_cry_11_0))
          ))
          (net N_678 (joined
           (portRef Z (instanceRef un1_SS_0_12))
           (portRef A (instanceRef un1_SS_1_cry_11_0_RNO_0))
          ))
          (net (rename un1_ea_reg_12 "un1_ea_reg[12]") (joined
           (portRef S1 (instanceRef un1_ea_reg_cry_11_0))
           (portRef D (instanceRef IX_7_12))
           (portRef D (instanceRef IY_7_12))
           (portRef C (instanceRef un1_data_w_0_12))
           (portRef C (instanceRef un1_SS_1_cry_11_0_RNO_0))
          ))
          (net N_696 (joined
           (portRef Z (instanceRef un1_SS_1_cry_11_0_RNO_0))
           (portRef C1 (instanceRef un1_SS_1_cry_11_0))
          ))
          (net N_679 (joined
           (portRef Z (instanceRef un1_SS_0_13))
           (portRef A (instanceRef un1_SS_1_cry_13_0_RNO))
          ))
          (net (rename un1_ea_reg_13 "un1_ea_reg[13]") (joined
           (portRef S0 (instanceRef un1_ea_reg_cry_13_0))
           (portRef D (instanceRef IY_7_13))
           (portRef D (instanceRef IX_7_13))
           (portRef D (instanceRef un1_data_w_0_13))
           (portRef C (instanceRef un1_SS_1_cry_13_0_RNO))
          ))
          (net N_697 (joined
           (portRef Z (instanceRef un1_SS_1_cry_13_0_RNO))
           (portRef C0 (instanceRef un1_SS_1_cry_13_0))
          ))
          (net N_680 (joined
           (portRef Z (instanceRef un1_SS_0_14))
           (portRef A (instanceRef un1_SS_1_cry_13_0_RNO_0))
          ))
          (net (rename un1_ea_reg_14 "un1_ea_reg[14]") (joined
           (portRef S1 (instanceRef un1_ea_reg_cry_13_0))
           (portRef C (instanceRef un1_data_w_0_14))
           (portRef D (instanceRef IX_7_14))
           (portRef D (instanceRef IY_7_14))
           (portRef C (instanceRef un1_SS_1_cry_13_0_RNO_0))
          ))
          (net N_698 (joined
           (portRef Z (instanceRef un1_SS_1_cry_13_0_RNO_0))
           (portRef C1 (instanceRef un1_SS_1_cry_13_0))
          ))
          (net N_681 (joined
           (portRef Z (instanceRef un1_SS_0_15))
           (portRef A (instanceRef un1_SS_1_s_15_0_RNO))
          ))
          (net (rename un1_ea_reg_15 "un1_ea_reg[15]") (joined
           (portRef S0 (instanceRef un1_ea_reg_s_15_0))
           (portRef C (instanceRef un1_data_w_0_15))
           (portRef D (instanceRef IX_7_15))
           (portRef D (instanceRef IY_7_15))
           (portRef C (instanceRef un1_SS_1_s_15_0_RNO))
          ))
          (net N_699 (joined
           (portRef Z (instanceRef un1_SS_1_s_15_0_RNO))
           (portRef A0 (instanceRef un1_SS_1_s_15_0))
          ))
          (net PC (joined
           (portRef Z (instanceRef PC_1_sqmuxa_0_RNINS2K1))
           (portRef A (instanceRef PC_lm_0_am_15))
           (portRef A (instanceRef PC_lm_0_am_14))
           (portRef A (instanceRef PC_lm_0_am_13))
           (portRef A (instanceRef PC_lm_0_am_12))
           (portRef A (instanceRef PC_lm_0_am_11))
           (portRef A (instanceRef PC_lm_0_am_10))
           (portRef A (instanceRef PC_lm_0_am_9))
           (portRef A (instanceRef PC_lm_0_am_8))
           (portRef A (instanceRef PC_lm_0_am_7))
           (portRef A (instanceRef PC_lm_0_am_6))
           (portRef A (instanceRef PC_lm_0_am_5))
           (portRef A (instanceRef PC_lm_0_am_4))
           (portRef A (instanceRef PC_lm_0_am_3))
           (portRef A (instanceRef PC_lm_0_am_2))
           (portRef A (instanceRef PC_lm_0_am_1))
           (portRef A (instanceRef PC_lm_0_am_0))
          ))
          (net (rename PC_s_s1_0 "PC_s_s1[0]") (joined
           (portRef S1 (instanceRef PC_cry_s1_0_0))
           (portRef B (instanceRef PC_lm_0_am_0))
          ))
          (net (rename regs_o_pc_0 "regs_o_pc[0]") (joined
           (portRef Q (instanceRef PC_0))
           (portRef A1 (instanceRef PC_cry_s1_0_0))
           (portRef C (instanceRef un1_PC_1_1_m2_0))
           (portRef C (instanceRef path_left_data_i_m3_1_0))
           (portRef B (instanceRef k_cpu_addr_26_iv_0_0_0))
           (portRef C (instanceRef PC_lm_0_am_0))
           (portRef (member regs_o_pc 15))
          ))
          (net (rename PC_lm_0_am_0 "PC_lm_0_am[0]") (joined
           (portRef Z (instanceRef PC_lm_0_am_0))
           (portRef BLUT (instanceRef PC_lm_0_0))
          ))
          (net N_153 (joined
           (portRef Z (instanceRef k_new_pc_17_i_m3_0))
           (portRef A (instanceRef PC_lm_0_bm_0))
          ))
          (net (rename PC_lm_0_bm_0 "PC_lm_0_bm[0]") (joined
           (portRef Z (instanceRef PC_lm_0_bm_0))
           (portRef ALUT (instanceRef PC_lm_0_0))
          ))
          (net k_inc_pc_i_0 (joined
           (portRef Z (instanceRef PC_1_sqmuxa_0_RNINS2K1_0))
           (portRef C0 (instanceRef PC_lm_0_15))
           (portRef C0 (instanceRef PC_lm_0_14))
           (portRef C0 (instanceRef PC_lm_0_13))
           (portRef C0 (instanceRef PC_lm_0_12))
           (portRef C0 (instanceRef PC_lm_0_11))
           (portRef C0 (instanceRef PC_lm_0_10))
           (portRef C0 (instanceRef PC_lm_0_9))
           (portRef C0 (instanceRef PC_lm_0_8))
           (portRef C0 (instanceRef PC_lm_0_7))
           (portRef C0 (instanceRef PC_lm_0_6))
           (portRef C0 (instanceRef PC_lm_0_5))
           (portRef C0 (instanceRef PC_lm_0_4))
           (portRef C0 (instanceRef PC_lm_0_3))
           (portRef C0 (instanceRef PC_lm_0_2))
           (portRef C0 (instanceRef PC_lm_0_1))
           (portRef C0 (instanceRef PC_lm_0_0))
          ))
          (net (rename PC_s_s1_1 "PC_s_s1[1]") (joined
           (portRef S0 (instanceRef PC_cry_s1_0_1))
           (portRef B (instanceRef PC_lm_0_am_1))
          ))
          (net (rename regs_o_pc_1 "regs_o_pc[1]") (joined
           (portRef Q (instanceRef PC_1))
           (portRef A0 (instanceRef PC_cry_s1_0_1))
           (portRef D (instanceRef path_left_data_7_1_1))
           (portRef C (instanceRef un1_PC_1_0_1))
           (portRef C (instanceRef PC_lm_0_am_1))
           (portRef (member regs_o_pc 14))
          ))
          (net (rename PC_lm_0_am_1 "PC_lm_0_am[1]") (joined
           (portRef Z (instanceRef PC_lm_0_am_1))
           (portRef BLUT (instanceRef PC_lm_0_1))
          ))
          (net k_inc_pc (joined
           (portRef k_inc_pc)
           (portRef A (instanceRef PC_1_sqmuxa_0_RNINS2K1))
           (portRef A (instanceRef PC_1_sqmuxa_0_RNINS2K1_0))
           (portRef B (instanceRef PC_lm_0_bm_15))
           (portRef B (instanceRef PC_lm_0_bm_14))
           (portRef B (instanceRef PC_lm_0_bm_13))
           (portRef B (instanceRef PC_lm_0_bm_12))
           (portRef B (instanceRef PC_lm_0_bm_11))
           (portRef B (instanceRef PC_lm_0_bm_10))
           (portRef B (instanceRef PC_lm_0_bm_9))
           (portRef B (instanceRef PC_lm_0_bm_8))
           (portRef B (instanceRef PC_lm_0_bm_7))
           (portRef B (instanceRef PC_lm_0_bm_6))
           (portRef B (instanceRef PC_lm_0_bm_5))
           (portRef B (instanceRef PC_lm_0_bm_4))
           (portRef B (instanceRef PC_lm_0_bm_3))
           (portRef B (instanceRef PC_lm_0_bm_2))
           (portRef B (instanceRef PC_lm_0_bm_1))
           (portRef C (instanceRef PC_lm_0_bm_0))
          ))
          (net (rename k_new_pc_1 "k_new_pc[1]") (joined
           (portRef Z (instanceRef k_new_pc_17_1))
           (portRef C (instanceRef PC_lm_0_bm_1))
          ))
          (net k_write_pc (joined
           (portRef k_write_pc)
           (portRef B (instanceRef PC_1_sqmuxa_0_RNINS2K1))
           (portRef B (instanceRef PC_1_sqmuxa_0_RNINS2K1_0))
           (portRef D (instanceRef PC_lm_0_bm_15))
           (portRef D (instanceRef PC_lm_0_bm_14))
           (portRef D (instanceRef PC_lm_0_bm_13))
           (portRef D (instanceRef PC_lm_0_bm_12))
           (portRef D (instanceRef PC_lm_0_bm_11))
           (portRef D (instanceRef PC_lm_0_bm_10))
           (portRef D (instanceRef PC_lm_0_bm_9))
           (portRef D (instanceRef PC_lm_0_bm_8))
           (portRef D (instanceRef PC_lm_0_bm_7))
           (portRef D (instanceRef PC_lm_0_bm_6))
           (portRef D (instanceRef PC_lm_0_bm_5))
           (portRef D (instanceRef PC_lm_0_bm_4))
           (portRef D (instanceRef PC_lm_0_bm_3))
           (portRef D (instanceRef PC_lm_0_bm_2))
           (portRef D (instanceRef PC_lm_0_bm_1))
           (portRef D (instanceRef PC_lm_0_bm_0))
          ))
          (net (rename PC_lm_0_bm_1 "PC_lm_0_bm[1]") (joined
           (portRef Z (instanceRef PC_lm_0_bm_1))
           (portRef ALUT (instanceRef PC_lm_0_1))
          ))
          (net (rename PC_s_s1_2 "PC_s_s1[2]") (joined
           (portRef S1 (instanceRef PC_cry_s1_0_1))
           (portRef B (instanceRef PC_lm_0_am_2))
          ))
          (net (rename regs_o_pc_2 "regs_o_pc[2]") (joined
           (portRef Q (instanceRef PC_2))
           (portRef A1 (instanceRef PC_cry_s1_0_1))
           (portRef C (instanceRef un1_PC_1_0_2))
           (portRef C (instanceRef path_left_data_2_2))
           (portRef B (instanceRef k_cpu_addr_26_iv_0_2))
           (portRef C (instanceRef PC_lm_0_am_2))
           (portRef (member regs_o_pc 13))
          ))
          (net (rename PC_lm_0_am_2 "PC_lm_0_am[2]") (joined
           (portRef Z (instanceRef PC_lm_0_am_2))
           (portRef BLUT (instanceRef PC_lm_0_2))
          ))
          (net (rename k_new_pcZ0Z_2 "k_new_pc[2]") (joined
           (portRef Z (instanceRef k_new_pc_17_2))
           (portRef C (instanceRef PC_lm_0_bm_2))
          ))
          (net (rename PC_lm_0_bm_2 "PC_lm_0_bm[2]") (joined
           (portRef Z (instanceRef PC_lm_0_bm_2))
           (portRef ALUT (instanceRef PC_lm_0_2))
          ))
          (net (rename PC_s_s1_3 "PC_s_s1[3]") (joined
           (portRef S0 (instanceRef PC_cry_s1_0_3))
           (portRef B (instanceRef PC_lm_0_am_3))
          ))
          (net (rename regs_o_pc_3 "regs_o_pc[3]") (joined
           (portRef Q (instanceRef PC_3))
           (portRef A0 (instanceRef PC_cry_s1_0_3))
           (portRef C (instanceRef un1_PC_1_0_3))
           (portRef C (instanceRef path_left_data_2_3))
           (portRef C (instanceRef path_left_data_7_bm_3))
           (portRef C (instanceRef PC_lm_0_am_3))
           (portRef (member regs_o_pc 12))
          ))
          (net (rename PC_lm_0_am_3 "PC_lm_0_am[3]") (joined
           (portRef Z (instanceRef PC_lm_0_am_3))
           (portRef BLUT (instanceRef PC_lm_0_3))
          ))
          (net (rename k_new_pc_2 "k_new_pc[3]") (joined
           (portRef k_new_pc_2)
           (portRef C (instanceRef PC_lm_0_bm_3))
          ))
          (net (rename PC_lm_0_bm_3 "PC_lm_0_bm[3]") (joined
           (portRef Z (instanceRef PC_lm_0_bm_3))
           (portRef ALUT (instanceRef PC_lm_0_3))
          ))
          (net (rename PC_s_s1_4 "PC_s_s1[4]") (joined
           (portRef S1 (instanceRef PC_cry_s1_0_3))
           (portRef B (instanceRef PC_lm_0_am_4))
          ))
          (net (rename regs_o_pc_4 "regs_o_pc[4]") (joined
           (portRef Q (instanceRef PC_4))
           (portRef A1 (instanceRef PC_cry_s1_0_3))
           (portRef C (instanceRef un1_PC_1_0_4))
           (portRef C (instanceRef path_left_data_2_4))
           (portRef C (instanceRef PC_lm_0_am_4))
           (portRef (member regs_o_pc 11))
          ))
          (net (rename PC_lm_0_am_4 "PC_lm_0_am[4]") (joined
           (portRef Z (instanceRef PC_lm_0_am_4))
           (portRef BLUT (instanceRef PC_lm_0_4))
          ))
          (net (rename k_new_pc_4 "k_new_pc[4]") (joined
           (portRef Z (instanceRef k_new_pc_17_4))
           (portRef C (instanceRef PC_lm_0_bm_4))
          ))
          (net (rename PC_lm_0_bm_4 "PC_lm_0_bm[4]") (joined
           (portRef Z (instanceRef PC_lm_0_bm_4))
           (portRef ALUT (instanceRef PC_lm_0_4))
          ))
          (net (rename PC_s_s1_5 "PC_s_s1[5]") (joined
           (portRef S0 (instanceRef PC_cry_s1_0_5))
           (portRef B (instanceRef PC_lm_0_am_5))
          ))
          (net (rename regs_o_pc_5 "regs_o_pc[5]") (joined
           (portRef Q (instanceRef PC_5))
           (portRef A0 (instanceRef PC_cry_s1_0_5))
           (portRef C (instanceRef un1_PC_1_0_5))
           (portRef C (instanceRef path_left_data_2_5))
           (portRef B (instanceRef k_cpu_addr_26_iv_0_5))
           (portRef C (instanceRef PC_lm_0_am_5))
           (portRef (member regs_o_pc 10))
          ))
          (net (rename PC_lm_0_am_5 "PC_lm_0_am[5]") (joined
           (portRef Z (instanceRef PC_lm_0_am_5))
           (portRef BLUT (instanceRef PC_lm_0_5))
          ))
          (net (rename k_new_pc_5 "k_new_pc[5]") (joined
           (portRef Z (instanceRef k_new_pc_17_5))
           (portRef C (instanceRef PC_lm_0_bm_5))
          ))
          (net (rename PC_lm_0_bm_5 "PC_lm_0_bm[5]") (joined
           (portRef Z (instanceRef PC_lm_0_bm_5))
           (portRef ALUT (instanceRef PC_lm_0_5))
          ))
          (net (rename PC_s_s1_6 "PC_s_s1[6]") (joined
           (portRef S1 (instanceRef PC_cry_s1_0_5))
           (portRef B (instanceRef PC_lm_0_am_6))
          ))
          (net (rename regs_o_pc_6 "regs_o_pc[6]") (joined
           (portRef Q (instanceRef PC_6))
           (portRef A1 (instanceRef PC_cry_s1_0_5))
           (portRef C (instanceRef un1_PC_1_0_6))
           (portRef C (instanceRef path_left_data_2_6))
           (portRef C (instanceRef PC_lm_0_am_6))
           (portRef (member regs_o_pc 9))
          ))
          (net (rename PC_lm_0_am_6 "PC_lm_0_am[6]") (joined
           (portRef Z (instanceRef PC_lm_0_am_6))
           (portRef BLUT (instanceRef PC_lm_0_6))
          ))
          (net (rename k_new_pcZ0Z_6 "k_new_pc[6]") (joined
           (portRef Z (instanceRef k_new_pc_17_6))
           (portRef C (instanceRef PC_lm_0_bm_6))
          ))
          (net (rename PC_lm_0_bm_6 "PC_lm_0_bm[6]") (joined
           (portRef Z (instanceRef PC_lm_0_bm_6))
           (portRef ALUT (instanceRef PC_lm_0_6))
          ))
          (net (rename PC_s_s1_7 "PC_s_s1[7]") (joined
           (portRef S0 (instanceRef PC_cry_s1_0_7))
           (portRef B (instanceRef PC_lm_0_am_7))
          ))
          (net (rename regs_o_pc_7 "regs_o_pc[7]") (joined
           (portRef Q (instanceRef PC_7))
           (portRef A0 (instanceRef PC_cry_s1_0_7))
           (portRef C (instanceRef un1_PC_1_0_7))
           (portRef C (instanceRef path_left_data_2_7))
           (portRef C (instanceRef PC_lm_0_am_7))
           (portRef (member regs_o_pc 8))
          ))
          (net (rename PC_lm_0_am_7 "PC_lm_0_am[7]") (joined
           (portRef Z (instanceRef PC_lm_0_am_7))
           (portRef BLUT (instanceRef PC_lm_0_7))
          ))
          (net (rename k_new_pc_6 "k_new_pc[7]") (joined
           (portRef k_new_pc_6)
           (portRef C (instanceRef PC_lm_0_bm_7))
          ))
          (net (rename PC_lm_0_bm_7 "PC_lm_0_bm[7]") (joined
           (portRef Z (instanceRef PC_lm_0_bm_7))
           (portRef ALUT (instanceRef PC_lm_0_7))
          ))
          (net (rename PC_s_s1_8 "PC_s_s1[8]") (joined
           (portRef S1 (instanceRef PC_cry_s1_0_7))
           (portRef B (instanceRef PC_lm_0_am_8))
          ))
          (net (rename regs_o_pc_8 "regs_o_pc[8]") (joined
           (portRef Q (instanceRef PC_8))
           (portRef A1 (instanceRef PC_cry_s1_0_7))
           (portRef C (instanceRef un1_PC_1_0_8))
           (portRef C (instanceRef path_left_data_2_8))
           (portRef C (instanceRef PC_lm_0_am_8))
           (portRef (member regs_o_pc 7))
          ))
          (net (rename PC_lm_0_am_8 "PC_lm_0_am[8]") (joined
           (portRef Z (instanceRef PC_lm_0_am_8))
           (portRef BLUT (instanceRef PC_lm_0_8))
          ))
          (net (rename k_new_pc_7 "k_new_pc[8]") (joined
           (portRef k_new_pc_7)
           (portRef C (instanceRef PC_lm_0_bm_8))
          ))
          (net (rename PC_lm_0_bm_8 "PC_lm_0_bm[8]") (joined
           (portRef Z (instanceRef PC_lm_0_bm_8))
           (portRef ALUT (instanceRef PC_lm_0_8))
          ))
          (net (rename PC_s_s1_9 "PC_s_s1[9]") (joined
           (portRef S0 (instanceRef PC_cry_s1_0_9))
           (portRef B (instanceRef PC_lm_0_am_9))
          ))
          (net (rename regs_o_pc_9 "regs_o_pc[9]") (joined
           (portRef Q (instanceRef PC_9))
           (portRef A0 (instanceRef PC_cry_s1_0_9))
           (portRef C (instanceRef un1_PC_1_0_i_m3_9))
           (portRef C (instanceRef path_left_data_2_9))
           (portRef B (instanceRef k_cpu_addr_26_iv_0_9))
           (portRef C (instanceRef PC_lm_0_am_9))
           (portRef (member regs_o_pc 6))
          ))
          (net (rename PC_lm_0_am_9 "PC_lm_0_am[9]") (joined
           (portRef Z (instanceRef PC_lm_0_am_9))
           (portRef BLUT (instanceRef PC_lm_0_9))
          ))
          (net (rename k_new_pc_9 "k_new_pc[9]") (joined
           (portRef Z (instanceRef k_new_pc_17_9))
           (portRef C (instanceRef PC_lm_0_bm_9))
          ))
          (net (rename PC_lm_0_bm_9 "PC_lm_0_bm[9]") (joined
           (portRef Z (instanceRef PC_lm_0_bm_9))
           (portRef ALUT (instanceRef PC_lm_0_9))
          ))
          (net (rename PC_s_s1_10 "PC_s_s1[10]") (joined
           (portRef S1 (instanceRef PC_cry_s1_0_9))
           (portRef B (instanceRef PC_lm_0_am_10))
          ))
          (net (rename regs_o_pc_10 "regs_o_pc[10]") (joined
           (portRef Q (instanceRef PC_10))
           (portRef A1 (instanceRef PC_cry_s1_0_9))
           (portRef D (instanceRef un1_PC_1_10))
           (portRef C (instanceRef path_left_data_2_10))
           (portRef B (instanceRef k_cpu_addr_26_iv_0_10))
           (portRef C (instanceRef PC_lm_0_am_10))
           (portRef (member regs_o_pc 5))
          ))
          (net (rename PC_lm_0_am_10 "PC_lm_0_am[10]") (joined
           (portRef Z (instanceRef PC_lm_0_am_10))
           (portRef BLUT (instanceRef PC_lm_0_10))
          ))
          (net (rename k_new_pc_10 "k_new_pc[10]") (joined
           (portRef Z (instanceRef k_new_pc_17_10))
           (portRef C (instanceRef PC_lm_0_bm_10))
          ))
          (net (rename PC_lm_0_bm_10 "PC_lm_0_bm[10]") (joined
           (portRef Z (instanceRef PC_lm_0_bm_10))
           (portRef ALUT (instanceRef PC_lm_0_10))
          ))
          (net (rename PC_s_s1_11 "PC_s_s1[11]") (joined
           (portRef S0 (instanceRef PC_cry_s1_0_11))
           (portRef B (instanceRef PC_lm_0_am_11))
          ))
          (net (rename regs_o_pc_11 "regs_o_pc[11]") (joined
           (portRef Q (instanceRef PC_11))
           (portRef A0 (instanceRef PC_cry_s1_0_11))
           (portRef D (instanceRef un1_PC_1_11))
           (portRef C (instanceRef path_left_data_2_11))
           (portRef B (instanceRef k_cpu_addr_26_iv_0_11))
           (portRef C (instanceRef PC_lm_0_am_11))
           (portRef (member regs_o_pc 4))
          ))
          (net (rename PC_lm_0_am_11 "PC_lm_0_am[11]") (joined
           (portRef Z (instanceRef PC_lm_0_am_11))
           (portRef BLUT (instanceRef PC_lm_0_11))
          ))
          (net (rename datamux_o_dest_11 "datamux_o_dest[11]") (joined
           (portRef Z (instanceRef datamux_o_dest_11))
           (portRef B (instanceRef IX_7_11))
           (portRef B (instanceRef IY_7_11))
           (portRef A (instanceRef un1_data_w_0_11))
           (portRef A (instanceRef un1_SS_0_11))
           (portRef B (instanceRef ACCA_RNO_3))
           (portRef A (instanceRef PC_lm_0_bm_11))
          ))
          (net (rename k_new_pcZ0Z_11 "k_new_pc[11]") (joined
           (portRef Z (instanceRef k_new_pc_17_11))
           (portRef C (instanceRef PC_lm_0_bm_11))
          ))
          (net (rename PC_lm_0_bm_11 "PC_lm_0_bm[11]") (joined
           (portRef Z (instanceRef PC_lm_0_bm_11))
           (portRef ALUT (instanceRef PC_lm_0_11))
          ))
          (net (rename PC_s_s1_12 "PC_s_s1[12]") (joined
           (portRef S1 (instanceRef PC_cry_s1_0_11))
           (portRef B (instanceRef PC_lm_0_am_12))
          ))
          (net (rename regs_o_pc_12 "regs_o_pc[12]") (joined
           (portRef Q (instanceRef PC_12))
           (portRef A1 (instanceRef PC_cry_s1_0_11))
           (portRef D (instanceRef un1_PC_1_1_12))
           (portRef C (instanceRef path_left_data_2_12))
           (portRef C (instanceRef PC_lm_0_am_12))
           (portRef (member regs_o_pc 3))
          ))
          (net (rename PC_lm_0_am_12 "PC_lm_0_am[12]") (joined
           (portRef Z (instanceRef PC_lm_0_am_12))
           (portRef BLUT (instanceRef PC_lm_0_12))
          ))
          (net (rename k_new_pc_11 "k_new_pc[12]") (joined
           (portRef k_new_pc_11)
           (portRef C (instanceRef PC_lm_0_bm_12))
          ))
          (net (rename PC_lm_0_bm_12 "PC_lm_0_bm[12]") (joined
           (portRef Z (instanceRef PC_lm_0_bm_12))
           (portRef ALUT (instanceRef PC_lm_0_12))
          ))
          (net (rename PC_s_s1_13 "PC_s_s1[13]") (joined
           (portRef S0 (instanceRef PC_cry_s1_0_13))
           (portRef B (instanceRef PC_lm_0_am_13))
          ))
          (net (rename regs_o_pc_13 "regs_o_pc[13]") (joined
           (portRef Q (instanceRef PC_13))
           (portRef A0 (instanceRef PC_cry_s1_0_13))
           (portRef D (instanceRef un1_PC_1_13))
           (portRef C (instanceRef path_left_data_2_13))
           (portRef B (instanceRef k_cpu_addr_26_iv_0_13))
           (portRef C (instanceRef PC_lm_0_am_13))
           (portRef (member regs_o_pc 2))
          ))
          (net (rename PC_lm_0_am_13 "PC_lm_0_am[13]") (joined
           (portRef Z (instanceRef PC_lm_0_am_13))
           (portRef BLUT (instanceRef PC_lm_0_13))
          ))
          (net (rename k_new_pcZ0Z_13 "k_new_pc[13]") (joined
           (portRef Z (instanceRef k_new_pc_17_13))
           (portRef C (instanceRef PC_lm_0_bm_13))
          ))
          (net (rename PC_lm_0_bm_13 "PC_lm_0_bm[13]") (joined
           (portRef Z (instanceRef PC_lm_0_bm_13))
           (portRef ALUT (instanceRef PC_lm_0_13))
          ))
          (net (rename PC_s_s1_14 "PC_s_s1[14]") (joined
           (portRef S1 (instanceRef PC_cry_s1_0_13))
           (portRef B (instanceRef PC_lm_0_am_14))
          ))
          (net (rename regs_o_pc_14 "regs_o_pc[14]") (joined
           (portRef Q (instanceRef PC_14))
           (portRef A1 (instanceRef PC_cry_s1_0_13))
           (portRef C (instanceRef un1_PC_1_0_i_m3_14))
           (portRef C (instanceRef path_left_data_2_14))
           (portRef C (instanceRef PC_lm_0_am_14))
           (portRef (member regs_o_pc 1))
          ))
          (net (rename PC_lm_0_am_14 "PC_lm_0_am[14]") (joined
           (portRef Z (instanceRef PC_lm_0_am_14))
           (portRef BLUT (instanceRef PC_lm_0_14))
          ))
          (net (rename k_new_pc_13 "k_new_pc[14]") (joined
           (portRef k_new_pc_13)
           (portRef C (instanceRef PC_lm_0_bm_14))
          ))
          (net (rename PC_lm_0_bm_14 "PC_lm_0_bm[14]") (joined
           (portRef Z (instanceRef PC_lm_0_bm_14))
           (portRef ALUT (instanceRef PC_lm_0_14))
          ))
          (net (rename PC_s_s1_15 "PC_s_s1[15]") (joined
           (portRef S0 (instanceRef PC_s_s1_0_15))
           (portRef B (instanceRef PC_lm_0_am_15))
          ))
          (net (rename regs_o_pc_15 "regs_o_pc[15]") (joined
           (portRef Q (instanceRef PC_15))
           (portRef A0 (instanceRef PC_s_s1_0_15))
           (portRef D (instanceRef un1_PC_1_15))
           (portRef C (instanceRef path_left_data_2_15))
           (portRef C (instanceRef PC_lm_0_am_15))
           (portRef (member regs_o_pc 0))
          ))
          (net (rename PC_lm_0_am_15 "PC_lm_0_am[15]") (joined
           (portRef Z (instanceRef PC_lm_0_am_15))
           (portRef BLUT (instanceRef PC_lm_0_15))
          ))
          (net (rename k_new_pc_14 "k_new_pc[15]") (joined
           (portRef k_new_pc_14)
           (portRef C (instanceRef PC_lm_0_bm_15))
          ))
          (net (rename PC_lm_0_bm_15 "PC_lm_0_bm[15]") (joined
           (portRef Z (instanceRef PC_lm_0_bm_15))
           (portRef ALUT (instanceRef PC_lm_0_15))
          ))
          (net N_782 (joined
           (portRef Z (instanceRef state_cnst_0_a9_2))
           (portRef A (instanceRef state_cnst_0_8_RNIBFL51_2))
          ))
          (net (rename state_cnst_0_8_2 "state_cnst_0_8[2]") (joined
           (portRef Z (instanceRef state_cnst_0_8_2))
           (portRef B (instanceRef state_cnst_0_8_RNIBFL51_2))
          ))
          (net state_2_sqmuxa_6 (joined
           (portRef Z (instanceRef state_2_sqmuxa_6))
           (portRef A (instanceRef un1_state_1_sqmuxa))
           (portRef C (instanceRef state_cnst_0_8_RNIBFL51_2))
           (portRef D (instanceRef state_2_sqmuxa_6_RNI96LT))
           (portRef state_2_sqmuxa_6)
          ))
          (net N_1451 (joined
           (portRef Z (instanceRef state_cnst_0_8_RNIBFL51_2))
           (portRef N_1451)
          ))
          (net (rename k_cpu_addr_26_iv_0_11 "k_cpu_addr_26_iv_0[11]") (joined
           (portRef Z (instanceRef k_cpu_addr_26_iv_0_11))
           (portRef A (instanceRef k_cpu_addr_26_iv_1_11))
          ))
          (net (rename k_cpu_addr_26_iv_1_9 "k_cpu_addr_26_iv_1[11]") (joined
           (portRef Z (instanceRef k_cpu_addr_26_iv_1_11))
           (portRef k_cpu_addr_26_iv_1_9)
          ))
          (net (rename k_cpu_addr_26_iv_0_10 "k_cpu_addr_26_iv_0[10]") (joined
           (portRef Z (instanceRef k_cpu_addr_26_iv_0_10))
           (portRef A (instanceRef k_cpu_addr_26_iv_1_10))
          ))
          (net (rename regs_o_eamem_addr_10 "regs_o_eamem_addr[10]") (joined
           (portRef S1 (instanceRef eamem_addr_cry_9_0))
           (portRef B (instanceRef k_cpu_addr_26_iv_1_10))
           (portRef D (instanceRef k_new_pc_pipe_53))
           (portRef regs_o_eamem_addr_10)
          ))
          (net un1_k_cpu_addr_1_sqmuxa (joined
           (portRef un1_k_cpu_addr_1_sqmuxa)
           (portRef C (instanceRef k_cpu_addr_26_iv_0_2))
           (portRef C (instanceRef k_cpu_addr_26_iv_0_0_0))
           (portRef C (instanceRef k_cpu_addr_26_iv_0_9))
           (portRef C (instanceRef k_cpu_addr_26_iv_0_5))
           (portRef C (instanceRef k_cpu_addr_26_iv_1_13))
           (portRef C (instanceRef k_cpu_addr_26_iv_1_10))
           (portRef C (instanceRef k_cpu_addr_26_iv_1_11))
          ))
          (net (rename k_cpu_addr_26_iv_1_8 "k_cpu_addr_26_iv_1[10]") (joined
           (portRef Z (instanceRef k_cpu_addr_26_iv_1_10))
           (portRef k_cpu_addr_26_iv_1_8)
          ))
          (net (rename k_cpu_addr_26_iv_0_13 "k_cpu_addr_26_iv_0[13]") (joined
           (portRef Z (instanceRef k_cpu_addr_26_iv_0_13))
           (portRef A (instanceRef k_cpu_addr_26_iv_1_13))
          ))
          (net (rename regs_o_eamem_addr_13 "regs_o_eamem_addr[13]") (joined
           (portRef S0 (instanceRef eamem_addr_cry_13_0))
           (portRef B (instanceRef k_cpu_addr_26_iv_1_13))
           (portRef D (instanceRef k_new_pc_pipe_67))
           (portRef regs_o_eamem_addr_13)
          ))
          (net (rename k_cpu_addr_26_iv_1_11 "k_cpu_addr_26_iv_1[13]") (joined
           (portRef Z (instanceRef k_cpu_addr_26_iv_1_13))
           (portRef k_cpu_addr_26_iv_1_11)
          ))
          (net N_1753_1 (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_data6_RNI93IN))
           (portRef A (instanceRef state_23_iv_3_0))
           (portRef N_1753_1)
          ))
          (net (rename state_23_iv_1_0 "state_23_iv_1[0]") (joined
           (portRef Z (instanceRef state_23_iv_1_0))
           (portRef B (instanceRef state_23_iv_3_0))
          ))
          (net un3_dest_flags_1 (joined
           (portRef un3_dest_flags_1)
           (portRef A (instanceRef un1_dec_o_write_flags))
          ))
          (net state234 (joined
           (portRef Z (instanceRef state234))
           (portRef D (instanceRef ACCB22))
           (portRef C (instanceRef k_write_post_incdec_6))
           (portRef D (instanceRef un1_dec_o_write_flags))
          ))
          (net (rename datamux_o_alu_in_left_path_data_7 "datamux_o_alu_in_left_path_data[7]") (joined
           (portRef datamux_o_alu_in_left_path_data_7)
           (portRef A (instanceRef cff_6_i_m2_2))
          ))
          (net (rename datamux_o_alu_in_left_path_data_15 "datamux_o_alu_in_left_path_data[15]") (joined
           (portRef datamux_o_alu_in_left_path_data_15)
           (portRef B (instanceRef cff_6_i_m2_2))
          ))
          (net N_1960 (joined
           (portRef N_1960)
           (portRef A (instanceRef cff_6_i_a2_12_2_1))
           (portRef A (instanceRef cff_6_i_a2_3_0))
          ))
          (net (rename dec_o_alu_opcode_1 "dec_o_alu_opcode[1]") (joined
           (portRef (member dec_o_alu_opcode 3))
           (portRef A (instanceRef cff_6_i_a2_1_1_0))
           (portRef B (instanceRef cff_6_i_a2_4_1))
           (portRef B (instanceRef cff_6_i_a2_7_1))
           (portRef B (instanceRef cff_6_i_a2_11_1))
           (portRef B (instanceRef cff_6_i_a2_5_2_1))
           (portRef C (instanceRef cff_6_i_m2_3))
           (portRef B (instanceRef cff_6_i_a2_2_2))
           (portRef C (instanceRef cff_6_i_a2_6_2))
           (portRef B (instanceRef cff_6_i_a2_12_2_1))
           (portRef C (instanceRef un1_dec_o_write_flags))
          ))
          (net (rename datamux_o_alu_in_left_path_data_0 "datamux_o_alu_in_left_path_data[0]") (joined
           (portRef datamux_o_alu_in_left_path_data_0)
           (portRef A (instanceRef cff_6_i_a2_6_2))
           (portRef B (instanceRef cff_6_i_a2_5_2_1_RNIRCNU))
          ))
          (net (rename dec_o_alu_opcode_3 "dec_o_alu_opcode[3]") (joined
           (portRef (member dec_o_alu_opcode 1))
           (portRef B (instanceRef cff_6_i_a2_1_1_0))
           (portRef D (instanceRef cff_6_i_a2_4_1))
           (portRef C (instanceRef cff_6_i_a2_7_1))
           (portRef D (instanceRef cff_6_i_a2_5_2_1))
           (portRef D (instanceRef cff_6_i_m2_3))
           (portRef D (instanceRef cff_6_i_a2_2_2))
           (portRef D (instanceRef cff_6_i_a2_6_2))
           (portRef C (instanceRef cff_6_i_a2_12_2_1))
          ))
          (net un1_state_33 (joined
           (portRef Z (instanceRef un1_state_33))
           (portRef D (instanceRef un1_state_74))
           (portRef B (instanceRef un1_state_82_4))
           (portRef D (instanceRef state_1_sqmuxa_8_RNIAT1N5))
           (portRef D (instanceRef next_state_2_sqmuxa_2))
           (portRef C (instanceRef un1_state_82_RNIUSTC3))
           (portRef B (instanceRef un1_next_state_1_sqmuxa))
           (portRef C (instanceRef un1_state_33_RNIRI5T2))
           (portRef A (instanceRef state234_3_RNIAHQR1))
           (portRef un1_state_33)
          ))
          (net (rename regs_o_su_m_3 "regs_o_su_m[5]") (joined
           (portRef Z (instanceRef SU_RNIVQ2M_5))
           (portRef regs_o_su_m_3)
          ))
          (net N_1950_2 (joined
           (portRef Z (instanceRef cff_6_i_a2_2_2))
           (portRef A (instanceRef cff_6_i_a2_2_1))
           (portRef N_1950_2)
          ))
          (net un1_k_cpu_addr_3_sqmuxa (joined
           (portRef un1_k_cpu_addr_3_sqmuxa)
           (portRef D (instanceRef SS_RNITBPF_13))
           (portRef D (instanceRef SS_RNIN5PF_10))
           (portRef D (instanceRef k_cpu_addr_26_iv_0_a2_0))
           (portRef D (instanceRef SS_RNIP7PF_11))
           (portRef D (instanceRef SU_RNI733M_9))
           (portRef D (instanceRef SU_RNIPK2M_2))
           (portRef D (instanceRef SU_RNIVQ2M_5))
          ))
          (net (rename regs_o_su_m_0 "regs_o_su_m[2]") (joined
           (portRef Z (instanceRef SU_RNIPK2M_2))
           (portRef regs_o_su_m_0)
          ))
          (net (rename regs_o_su_m_7 "regs_o_su_m[9]") (joined
           (portRef Z (instanceRef SU_RNI733M_9))
           (portRef regs_o_su_m_7)
          ))
          (net (rename regs_o_su_m_9 "regs_o_su_m[11]") (joined
           (portRef Z (instanceRef SS_RNIP7PF_11))
           (portRef regs_o_su_m_9)
          ))
          (net N_186 (joined
           (portRef Z (instanceRef k_cpu_addr_26_iv_0_a2_0))
           (portRef N_186)
          ))
          (net (rename regs_o_su_m_8 "regs_o_su_m[10]") (joined
           (portRef Z (instanceRef SS_RNIN5PF_10))
           (portRef regs_o_su_m_8)
          ))
          (net (rename dec_o_p1_mode_0 "dec_o_p1_mode[0]") (joined
           (portRef (member dec_o_p1_mode 2))
           (portRef A (instanceRef state_cnst_0_10_1))
           (portRef B (instanceRef state_cnst_0_10_1_1))
           (portRef B (instanceRef state_cnst_i_a9_8_4))
           (portRef B (instanceRef state_cnst_i_a9_7_0))
           (portRef C (instanceRef state_cnst_i_a9_4))
           (portRef A (instanceRef next_state_2_sqmuxa_2))
           (portRef A (instanceRef state_cnst_i_a3_6_0))
           (portRef A (instanceRef next_state_2_sqmuxa_2_RNIB8SO5))
           (portRef B (instanceRef state_cnst_i_o2_2_0))
           (portRef C (instanceRef state_cnst_0_a9_2))
           (portRef A (instanceRef k_memlo_1_sqmuxa))
           (portRef C (instanceRef un1_state_59_RNIPPC33))
          ))
          (net k_pp_regs_11_sqmuxa (joined
           (portRef k_pp_regs_11_sqmuxa)
           (portRef D (instanceRef k_memlo_1_sqmuxa))
          ))
          (net (rename regs_o_su_m_11 "regs_o_su_m[13]") (joined
           (portRef Z (instanceRef SS_RNITBPF_13))
           (portRef regs_o_su_m_11)
          ))
          (net (rename add8_w_8 "add8_w[8]") (joined
           (portRef (member add8_w 0))
           (portRef A (instanceRef cff_6_i_m2_3))
          ))
          (net (rename sub8_w_8 "sub8_w[8]") (joined
           (portRef (member sub8_w 0))
           (portRef B (instanceRef cff_6_i_m2_3))
          ))
          (net N_39 (joined
           (portRef Z (instanceRef cff_6_i_m2_3))
           (portRef ALUT (instanceRef cff_6_i_m2_1))
          ))
          (net (rename k_ealo_5 "k_ealo[5]") (joined
           (portRef k_ealo_5)
           (portRef A (instanceRef k_cpu_addr_26_iv_1_5))
           (portRef D (instanceRef k_new_pc_pipe_28))
          ))
          (net (rename k_cpu_addr_26_iv_0_5 "k_cpu_addr_26_iv_0[5]") (joined
           (portRef Z (instanceRef k_cpu_addr_26_iv_0_5))
           (portRef B (instanceRef k_cpu_addr_26_iv_1_5))
          ))
          (net (rename k_cpu_addr_26_iv_1_3 "k_cpu_addr_26_iv_1[5]") (joined
           (portRef Z (instanceRef k_cpu_addr_26_iv_1_5))
           (portRef k_cpu_addr_26_iv_1_3)
          ))
          (net (rename k_eahi_0 "k_eahi[1]") (joined
           (portRef k_eahi_0)
           (portRef A (instanceRef k_cpu_addr_26_iv_1_9))
           (portRef D (instanceRef k_new_pc_pipe_70))
          ))
          (net (rename k_cpu_addr_26_iv_0_9 "k_cpu_addr_26_iv_0[9]") (joined
           (portRef Z (instanceRef k_cpu_addr_26_iv_0_9))
           (portRef B (instanceRef k_cpu_addr_26_iv_1_9))
          ))
          (net un1_k_cpu_addr_2_sqmuxa_1 (joined
           (portRef un1_k_cpu_addr_2_sqmuxa_1)
           (portRef D (instanceRef k_cpu_addr_26_iv_0_13))
           (portRef D (instanceRef k_cpu_addr_26_iv_0_10))
           (portRef C (instanceRef k_cpu_addr_26_iv_1_2))
           (portRef D (instanceRef k_cpu_addr_26_iv_0_11))
           (portRef C (instanceRef k_cpu_addr_26_iv_0_1_0))
           (portRef C (instanceRef k_cpu_addr_26_iv_1_9))
           (portRef C (instanceRef k_cpu_addr_26_iv_1_5))
          ))
          (net (rename k_cpu_addr_26_iv_1_7 "k_cpu_addr_26_iv_1[9]") (joined
           (portRef Z (instanceRef k_cpu_addr_26_iv_1_9))
           (portRef k_cpu_addr_26_iv_1_7)
          ))
          (net (rename k_ealo_0 "k_ealo[0]") (joined
           (portRef k_ealo_0)
           (portRef A (instanceRef k_cpu_addr_26_iv_0_1_0))
           (portRef D (instanceRef k_new_pc_pipe))
          ))
          (net (rename k_cpu_addr_26_iv_0_0_0 "k_cpu_addr_26_iv_0_0[0]") (joined
           (portRef Z (instanceRef k_cpu_addr_26_iv_0_0_0))
           (portRef B (instanceRef k_cpu_addr_26_iv_0_1_0))
          ))
          (net (rename k_cpu_addr_26_iv_0_1_0 "k_cpu_addr_26_iv_0_1[0]") (joined
           (portRef Z (instanceRef k_cpu_addr_26_iv_0_1_0))
           (portRef (member k_cpu_addr_26_iv_0_1 0))
          ))
          (net (rename k_eahi_2 "k_eahi[3]") (joined
           (portRef k_eahi_2)
           (portRef A (instanceRef k_cpu_addr_26_iv_0_11))
           (portRef D (instanceRef k_new_pc_pipe_74))
          ))
          (net (rename k_ealo_2 "k_ealo[2]") (joined
           (portRef k_ealo_2)
           (portRef A (instanceRef k_cpu_addr_26_iv_1_2))
           (portRef D (instanceRef k_new_pc_pipe_14))
          ))
          (net (rename k_cpu_addr_26_iv_0_2 "k_cpu_addr_26_iv_0[2]") (joined
           (portRef Z (instanceRef k_cpu_addr_26_iv_0_2))
           (portRef B (instanceRef k_cpu_addr_26_iv_1_2))
          ))
          (net (rename k_cpu_addr_26_iv_1_0 "k_cpu_addr_26_iv_1[2]") (joined
           (portRef Z (instanceRef k_cpu_addr_26_iv_1_2))
           (portRef k_cpu_addr_26_iv_1_0)
          ))
          (net (rename k_eahi_1 "k_eahi[2]") (joined
           (portRef k_eahi_1)
           (portRef A (instanceRef k_cpu_addr_26_iv_0_10))
           (portRef D (instanceRef k_new_pc_pipe_72))
          ))
          (net un1_k_cpu_addr_2_sqmuxa (joined
           (portRef Z (instanceRef un1_k_cpu_addr_2_sqmuxa))
           (portRef D (instanceRef k_cpu_addr_26_iv_0_2))
           (portRef D (instanceRef k_cpu_addr_26_iv_0_0_0))
           (portRef D (instanceRef k_cpu_addr_26_iv_0_9))
           (portRef D (instanceRef k_cpu_addr_26_iv_0_5))
           (portRef C (instanceRef k_cpu_addr_26_iv_0_13))
           (portRef C (instanceRef k_cpu_addr_26_iv_0_10))
           (portRef C (instanceRef k_cpu_addr_26_iv_0_11))
           (portRef un1_k_cpu_addr_2_sqmuxa)
          ))
          (net (rename k_eahi_4 "k_eahi[5]") (joined
           (portRef k_eahi_4)
           (portRef A (instanceRef k_cpu_addr_26_iv_0_13))
           (portRef D (instanceRef k_new_pc_pipe_76))
          ))
          (net (rename datamux_o_dest_9 "datamux_o_dest[9]") (joined
           (portRef datamux_o_dest_9)
           (portRef B (instanceRef IX_7_9))
           (portRef B (instanceRef IY_7_9))
           (portRef A (instanceRef un1_data_w_0_9))
           (portRef A (instanceRef un1_SS_0_9))
           (portRef B (instanceRef ACCA_RNO_1))
           (portRef A (instanceRef PC_lm_0_bm_9))
          ))
          (net (rename datamux_o_dest_10 "datamux_o_dest[10]") (joined
           (portRef datamux_o_dest_10)
           (portRef B (instanceRef IX_7_10))
           (portRef B (instanceRef IY_7_10))
           (portRef A (instanceRef un1_data_w_0_10))
           (portRef A (instanceRef un1_SS_0_10))
           (portRef B (instanceRef ACCA_RNO_2))
           (portRef A (instanceRef PC_lm_0_bm_10))
          ))
          (net (rename datamux_o_dest_12 "datamux_o_dest[12]") (joined
           (portRef datamux_o_dest_12)
           (portRef B (instanceRef IX_7_12))
           (portRef B (instanceRef IY_7_12))
           (portRef A (instanceRef un1_data_w_0_12))
           (portRef A (instanceRef un1_SS_0_12))
           (portRef B (instanceRef ACCA_RNO_4))
           (portRef A (instanceRef PC_lm_0_bm_12))
          ))
          (net (rename datamux_o_dest_13 "datamux_o_dest[13]") (joined
           (portRef datamux_o_dest_13)
           (portRef B (instanceRef IY_7_13))
           (portRef B (instanceRef IX_7_13))
           (portRef A (instanceRef un1_data_w_0_13))
           (portRef A (instanceRef un1_SS_0_13))
           (portRef B (instanceRef ACCA_RNO_5))
           (portRef A (instanceRef PC_lm_0_bm_13))
          ))
          (net (rename datamux_o_dest_14 "datamux_o_dest[14]") (joined
           (portRef datamux_o_dest_14)
           (portRef A (instanceRef un1_data_w_0_14))
           (portRef B (instanceRef IX_7_14))
           (portRef B (instanceRef IY_7_14))
           (portRef A (instanceRef un1_SS_0_14))
           (portRef B (instanceRef ACCA_RNO_6))
           (portRef A (instanceRef PC_lm_0_bm_14))
          ))
          (net (rename datamux_o_dest_15 "datamux_o_dest[15]") (joined
           (portRef datamux_o_dest_15)
           (portRef A (instanceRef un1_data_w_0_15))
           (portRef B (instanceRef IX_7_15))
           (portRef B (instanceRef IY_7_15))
           (portRef A (instanceRef un1_SS_0_15))
           (portRef B (instanceRef ACCA_RNO_7))
           (portRef A (instanceRef PC_lm_0_bm_15))
          ))
          (net (rename datamux_o_dest_8 "datamux_o_dest[8]") (joined
           (portRef datamux_o_dest_8)
           (portRef B (instanceRef IX_7_8))
           (portRef B (instanceRef IY_7_8))
           (portRef A (instanceRef un1_data_w_0_8))
           (portRef A (instanceRef un1_SS_0_8))
           (portRef B (instanceRef ACCA_RNO_0))
           (portRef A (instanceRef PC_lm_0_bm_8))
          ))
          (net (rename SS_15 "SS[15]") (joined
           (portRef Q (instanceRef SS_15))
           (portRef C (instanceRef ea_reg_3_bm_15))
           (portRef B (instanceRef path_left_data_2_15))
           (portRef B (instanceRef un1_SS_0_15))
           (portRef SS_15)
          ))
          (net (rename SS_14 "SS[14]") (joined
           (portRef Q (instanceRef SS_14))
           (portRef C (instanceRef ea_reg_3_bm_14))
           (portRef B (instanceRef path_left_data_2_14))
           (portRef B (instanceRef un1_SS_0_14))
           (portRef SS_14)
          ))
          (net (rename SS_12 "SS[12]") (joined
           (portRef Q (instanceRef SS_12))
           (portRef C (instanceRef ea_reg_3_i_m3_bm_12))
           (portRef B (instanceRef path_left_data_2_12))
           (portRef B (instanceRef un1_SS_0_12))
           (portRef SS_12)
          ))
          (net (rename SS_8 "SS[8]") (joined
           (portRef Q (instanceRef SS_8))
           (portRef C (instanceRef ea_reg_3_bm_8))
           (portRef B (instanceRef path_left_data_2_8))
           (portRef B (instanceRef un1_SS_0_8))
           (portRef SS_8)
          ))
          (net (rename SS_7 "SS[7]") (joined
           (portRef Q (instanceRef SS_7))
           (portRef C (instanceRef ea_reg_3_bm_7))
           (portRef B (instanceRef path_left_data_2_7))
           (portRef B (instanceRef un1_SS_0_7))
           (portRef SS_7)
          ))
          (net (rename SS_6 "SS[6]") (joined
           (portRef Q (instanceRef SS_6))
           (portRef C (instanceRef ea_reg_3_bm_6))
           (portRef B (instanceRef path_left_data_2_6))
           (portRef B (instanceRef un1_SS_0_6))
           (portRef SS_6)
          ))
          (net (rename SS_4 "SS[4]") (joined
           (portRef Q (instanceRef SS_4))
           (portRef C (instanceRef ea_reg_3_bm_4))
           (portRef B (instanceRef path_left_data_2_4))
           (portRef B (instanceRef un1_SS_0_4))
           (portRef SS_4)
          ))
          (net (rename SS_3 "SS[3]") (joined
           (portRef Q (instanceRef SS_3))
           (portRef C (instanceRef ea_reg_3_bm_3))
           (portRef B (instanceRef path_left_data_2_3))
           (portRef B (instanceRef path_left_data_7_bm_3))
           (portRef B (instanceRef un1_SS_0_3))
           (portRef SS_3)
          ))
          (net (rename SS_1 "SS[1]") (joined
           (portRef Q (instanceRef SS_1))
           (portRef B (instanceRef path_left_data_7_1_1))
           (portRef C (instanceRef ea_reg_3_bm_1))
           (portRef B (instanceRef un1_SS_0_1))
           (portRef SS_1)
          ))
          (net N_1986 (joined
           (portRef Z (instanceRef IX_0_sqmuxa_0_o3_0))
           (portRef A (instanceRef IX_1_sqmuxa_RNI4SNK))
           (portRef A (instanceRef IY_1_sqmuxa_1_RNILILD))
           (portRef A (instanceRef IX_7_15))
           (portRef A (instanceRef IY_7_15))
           (portRef A (instanceRef IX_7_14))
           (portRef A (instanceRef IY_7_14))
           (portRef A (instanceRef IY_7_13))
           (portRef A (instanceRef IX_7_13))
           (portRef A (instanceRef IX_7_12))
           (portRef A (instanceRef IY_7_12))
           (portRef A (instanceRef IX_7_11))
           (portRef A (instanceRef IY_7_11))
           (portRef A (instanceRef IX_7_10))
           (portRef A (instanceRef IY_7_10))
           (portRef A (instanceRef IX_7_9))
           (portRef A (instanceRef IY_7_9))
           (portRef A (instanceRef IX_7_8))
           (portRef A (instanceRef IY_7_8))
           (portRef A (instanceRef IX_7_7))
           (portRef A (instanceRef IY_7_7))
           (portRef A (instanceRef IX_7_6))
           (portRef A (instanceRef IY_7_6))
           (portRef A (instanceRef IX_7_5))
           (portRef A (instanceRef IY_7_5))
           (portRef A (instanceRef IY_7_4))
           (portRef A (instanceRef IX_7_4))
           (portRef A (instanceRef IX_7_3))
           (portRef A (instanceRef IY_7_3))
           (portRef A (instanceRef IX_7_2))
           (portRef A (instanceRef IY_7_2))
           (portRef A (instanceRef IX_7_1))
           (portRef A (instanceRef IY_7_1))
           (portRef A (instanceRef IY_7_i_m3_0))
           (portRef A (instanceRef IX_7_i_m3_0))
          ))
          (net (rename un1_ea_reg_0 "un1_ea_reg[0]") (joined
           (portRef Z (instanceRef un1_ea_reg_axb_0))
           (portRef C (instanceRef un1_data_w_i_m3_0_0))
           (portRef D (instanceRef IY_7_i_m3_0))
           (portRef D (instanceRef IX_7_i_m3_0))
          ))
          (net (rename k_ind_ea_5 "k_ind_ea[5]") (joined
           (portRef (member k_ind_ea 2))
           (portRef A (instanceRef SS_1_sqmuxa_0_a2))
           (portRef A (instanceRef SU_1_sqmuxa_2_0_a2))
           (portRef C0 (instanceRef ea_reg_3_i_m3_0))
           (portRef C0 (instanceRef ea_reg_3_1))
           (portRef C0 (instanceRef ea_reg_3_2))
           (portRef C0 (instanceRef ea_reg_3_3))
           (portRef C0 (instanceRef ea_reg_3_4))
           (portRef C0 (instanceRef ea_reg_3_5))
           (portRef C0 (instanceRef ea_reg_3_6))
           (portRef C0 (instanceRef ea_reg_3_7))
           (portRef C0 (instanceRef ea_reg_3_8))
           (portRef C0 (instanceRef ea_reg_3_9))
           (portRef C0 (instanceRef ea_reg_3_10))
           (portRef C0 (instanceRef ea_reg_3_11))
           (portRef C0 (instanceRef ea_reg_3_i_m3_12))
           (portRef C0 (instanceRef ea_reg_3_13))
           (portRef C0 (instanceRef ea_reg_3_14))
           (portRef C0 (instanceRef ea_reg_3_15))
           (portRef B (instanceRef IX_1_sqmuxa_RNI4SNK))
           (portRef B (instanceRef IY_1_sqmuxa_1_RNILILD))
           (portRef C (instanceRef IX_7_15))
           (portRef C (instanceRef IY_7_15))
           (portRef C (instanceRef IX_7_14))
           (portRef C (instanceRef IY_7_14))
           (portRef C (instanceRef IY_7_13))
           (portRef C (instanceRef IX_7_13))
           (portRef C (instanceRef IX_7_12))
           (portRef C (instanceRef IY_7_12))
           (portRef C (instanceRef IX_7_11))
           (portRef C (instanceRef IY_7_11))
           (portRef C (instanceRef IX_7_10))
           (portRef C (instanceRef IY_7_10))
           (portRef C (instanceRef IX_7_9))
           (portRef C (instanceRef IY_7_9))
           (portRef C (instanceRef IX_7_8))
           (portRef C (instanceRef IY_7_8))
           (portRef C (instanceRef IX_7_7))
           (portRef C (instanceRef IY_7_7))
           (portRef C (instanceRef IX_7_6))
           (portRef C (instanceRef IY_7_6))
           (portRef C (instanceRef IX_7_5))
           (portRef C (instanceRef IY_7_5))
           (portRef C (instanceRef IY_7_4))
           (portRef C (instanceRef IX_7_4))
           (portRef C (instanceRef IX_7_3))
           (portRef C (instanceRef IY_7_3))
           (portRef C (instanceRef IX_7_2))
           (portRef C (instanceRef IY_7_2))
           (portRef C (instanceRef IX_7_1))
           (portRef C (instanceRef IY_7_1))
           (portRef C (instanceRef IY_7_i_m3_0))
           (portRef C (instanceRef IX_7_i_m3_0))
          ))
          (net state79 (joined
           (portRef state79)
           (portRef A (instanceRef un1_next_state_1_sqmuxa))
           (portRef B (instanceRef un1_state_33_RNIRI5T2))
          ))
          (net un1_state_34 (joined
           (portRef un1_state_34)
           (portRef C (instanceRef un1_state_74))
           (portRef D (instanceRef next_state_2_sqmuxa_2_RNIB8SO5))
           (portRef C (instanceRef un1_next_state_1_sqmuxa))
           (portRef D (instanceRef un1_state_33_RNIRI5T2))
          ))
          (net un1_next_state_1_sqmuxa (joined
           (portRef Z (instanceRef un1_next_state_1_sqmuxa))
           (portRef D (instanceRef state_cnst_0_8_RNIBFL51_2))
           (portRef un1_next_state_1_sqmuxa)
          ))
          (net (rename next_push_state_cnv_0_0 "next_push_state_cnv_0[0]") (joined
           (portRef (member next_push_state_cnv_0 0))
           (portRef A (instanceRef un1_state_82_RNIUSTC3))
          ))
          (net state78 (joined
           (portRef state78)
           (portRef B (instanceRef un1_state_82_RNIUSTC3))
          ))
          (net un1_state_82 (joined
           (portRef Z (instanceRef un1_state_82))
           (portRef D (instanceRef un1_state_82_RNIUSTC3))
          ))
          (net un1_state_82_RNIUSTC3 (joined
           (portRef Z (instanceRef un1_state_82_RNIUSTC3))
           (portRef un1_state_82_RNIUSTC3)
          ))
          (net N_725 (joined
           (portRef N_725)
           (portRef A (instanceRef state234))
           (portRef A (instanceRef state_cnst_0_3))
           (portRef B (instanceRef state_cnst_i_1_RNO_4))
          ))
          (net N_821 (joined
           (portRef N_821)
           (portRef A (instanceRef un1_state_24_1_RNICQBV1))
           (portRef B (instanceRef state_cnst_0_3))
           (portRef A (instanceRef state_cnst_0_8_RNO_2))
          ))
          (net (rename state_cnst_0_6_3 "state_cnst_0_6[3]") (joined
           (portRef Z (instanceRef state_cnst_0_6_3))
           (portRef D (instanceRef state_cnst_0_3))
          ))
          (net (rename regs_o_eamem_addr_5 "regs_o_eamem_addr[5]") (joined
           (portRef S0 (instanceRef eamem_addr_cry_5_0))
           (portRef A (instanceRef k_cpu_addr_26_iv_0_5))
           (portRef D (instanceRef k_new_pc_pipe_32))
           (portRef regs_o_eamem_addr_5)
          ))
          (net (rename regs_o_eamem_addr_9 "regs_o_eamem_addr[9]") (joined
           (portRef S0 (instanceRef eamem_addr_cry_9_0))
           (portRef A (instanceRef k_cpu_addr_26_iv_0_9))
           (portRef D (instanceRef k_new_pc_pipe_46))
           (portRef regs_o_eamem_addr_9)
          ))
          (net (rename regs_o_eamem_addr_0 "regs_o_eamem_addr[0]") (joined
           (portRef Z (instanceRef eamem_addr_axb_0))
           (portRef A (instanceRef k_cpu_addr_26_iv_0_0_0))
           (portRef D (instanceRef k_new_pc_pipe_4))
           (portRef regs_o_eamem_addr_0)
          ))
          (net (rename regs_o_eamem_addr_2 "regs_o_eamem_addr[2]") (joined
           (portRef S1 (instanceRef eamem_addr_cry_1_0))
           (portRef A (instanceRef k_cpu_addr_26_iv_0_2))
           (portRef D (instanceRef k_new_pc_pipe_18))
           (portRef regs_o_eamem_addr_2)
          ))
          (net N_765 (joined
           (portRef Z (instanceRef state_cnst_i_a9_7_0))
           (portRef B (instanceRef state_23_iv_RNO_0_0))
          ))
          (net N_768 (joined
           (portRef Z (instanceRef state_cnst_i_a9_10_0))
           (portRef C (instanceRef state_23_iv_RNO_0_0))
          ))
          (net (rename state_cnst_m_6_0 "state_cnst_m_6[0]") (joined
           (portRef Z (instanceRef state_23_iv_RNO_2_0))
           (portRef D (instanceRef state_23_iv_RNO_0_0))
          ))
          (net SU_1_sqmuxa_1 (joined
           (portRef Z (instanceRef SU_1_sqmuxa_1))
           (portRef B1 (instanceRef un1_data_w_1_cry_0_0))
           (portRef B (instanceRef un1_SU_3_sqmuxa))
          ))
          (net SU_1_sqmuxa_3 (joined
           (portRef Z (instanceRef SU_1_sqmuxa_3))
           (portRef D (instanceRef un1_SU_3_sqmuxa))
          ))
          (net IY_1_sqmuxa_1 (joined
           (portRef Z (instanceRef IY_1_sqmuxa_1))
           (portRef C (instanceRef IY_1_sqmuxa_1_RNILILD))
          ))
          (net IX_1_sqmuxa (joined
           (portRef Z (instanceRef IX_1_sqmuxa))
           (portRef C (instanceRef IX_1_sqmuxa_RNI4SNK))
          ))
          (net N_128 (joined
           (portRef Z (instanceRef path_left_data_i_m3_1_0))
           (portRef A (instanceRef path_left_data_i_a2_0))
          ))
          (net N_131 (joined
           (portRef Z (instanceRef path_left_data_i_m3_2_0))
           (portRef B (instanceRef path_left_data_i_a2_0))
          ))
          (net N_167 (joined
           (portRef Z (instanceRef path_left_data_i_a2_0))
           (portRef N_167)
          ))
          (net PC_1_sqmuxa_0 (joined
           (portRef Z (instanceRef PC_1_sqmuxa_0))
           (portRef C (instanceRef PC_1_sqmuxa_0_RNINS2K1))
           (portRef C (instanceRef PC_1_sqmuxa_0_RNINS2K1_0))
          ))
          (net datamux_N_5 (joined
           (portRef datamux_N_5)
           (portRef A (instanceRef ACCA_RNI000M2_0))
           (portRef A (instanceRef path_left_data_1))
           (portRef C0 (instanceRef path_left_data_9))
           (portRef C0 (instanceRef path_left_data_15))
           (portRef A (instanceRef path_left_data_6))
           (portRef A (instanceRef path_left_data_5))
           (portRef C0 (instanceRef path_left_data_13))
           (portRef C0 (instanceRef path_left_data_12))
           (portRef C0 (instanceRef path_left_data_11))
           (portRef C0 (instanceRef path_left_data_10))
           (portRef A (instanceRef path_left_data_7))
           (portRef C0 (instanceRef path_left_data_4))
           (portRef A (instanceRef path_left_data_3))
           (portRef A (instanceRef path_left_data_2))
           (portRef C (instanceRef path_left_data_i_a2_0))
          ))
          (net N_346 (joined
           (portRef Z (instanceRef path_left_data_7_2))
           (portRef C (instanceRef path_left_data_2))
          ))
          (net (rename regs_o_left_path_data_1 "regs_o_left_path_data[2]") (joined
           (portRef Z (instanceRef path_left_data_2))
           (portRef regs_o_left_path_data_1)
          ))
          (net N_347 (joined
           (portRef Z (instanceRef path_left_data_7_3))
           (portRef C (instanceRef path_left_data_3))
          ))
          (net (rename regs_o_left_path_data_2 "regs_o_left_path_data[3]") (joined
           (portRef Z (instanceRef path_left_data_3))
           (portRef regs_o_left_path_data_2)
          ))
          (net N_241 (joined
           (portRef Z (instanceRef path_left_data_0_4))
           (portRef C (instanceRef path_left_data_6_4))
           (portRef A (instanceRef path_left_data_am_4))
          ))
          (net N_299 (joined
           (portRef Z (instanceRef path_left_data_am_RNO_4))
           (portRef B (instanceRef path_left_data_am_4))
          ))
          (net (rename path_left_data_am_4 "path_left_data_am[4]") (joined
           (portRef Z (instanceRef path_left_data_am_4))
           (portRef BLUT (instanceRef path_left_data_4))
          ))
          (net (rename datamux_o_alu_in_left_path_addr_1_2 "datamux_o_alu_in_left_path_addr_1[2]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_addr_1_d_RNI7FPN3_2))
           (portRef B (instanceRef path_left_data_4_7))
           (portRef B (instanceRef path_left_data_6_RNO_4))
           (portRef A (instanceRef path_left_data_7_1_1))
           (portRef B (instanceRef path_left_data_7_1_1_1))
           (portRef D (instanceRef datamux_o_alu_in_left_path_addr_1_RNI208S7_1))
           (portRef C (instanceRef path_left_data_7_1))
           (portRef C0 (instanceRef path_left_data_7_6))
           (portRef C0 (instanceRef path_left_data_7_5))
           (portRef B (instanceRef path_left_data_4_1))
           (portRef B (instanceRef path_left_data_4_5))
           (portRef B (instanceRef path_left_data_4_6))
           (portRef C (instanceRef datamux_o_alu_in_left_path_addr_1_0_RNIVRLE4_1))
           (portRef C (instanceRef path_left_data_am_RNO_4))
           (portRef C (instanceRef path_left_data_6_RNO_3))
           (portRef B (instanceRef path_left_data_6_am_5))
           (portRef B (instanceRef path_left_data_6_am_6))
           (portRef A (instanceRef path_left_data_7_14))
           (portRef A (instanceRef path_left_data_7_8))
           (portRef C0 (instanceRef path_left_data_7_3))
           (portRef A (instanceRef path_left_data_7_2))
           (portRef A (instanceRef path_left_data_7_7))
           (portRef C (instanceRef path_left_data_6_am_2))
           (portRef C (instanceRef path_left_data_6_am_7))
           (portRef A (instanceRef path_left_data_bm_9))
           (portRef C (instanceRef path_left_data_am_9))
           (portRef A (instanceRef path_left_data_bm_15))
           (portRef C (instanceRef path_left_data_am_15))
           (portRef A (instanceRef path_left_data_bm_13))
           (portRef C (instanceRef path_left_data_am_13))
           (portRef A (instanceRef path_left_data_bm_12))
           (portRef C (instanceRef path_left_data_am_12))
           (portRef A (instanceRef path_left_data_bm_11))
           (portRef C (instanceRef path_left_data_am_11))
           (portRef A (instanceRef path_left_data_bm_10))
           (portRef C (instanceRef path_left_data_am_10))
           (portRef A (instanceRef path_left_data_bm_4))
           (portRef D (instanceRef path_left_data_i_a2_0))
           (portRef (member datamux_o_alu_in_left_path_addr_1 0))
          ))
          (net (rename path_left_data_bm_4 "path_left_data_bm[4]") (joined
           (portRef Z (instanceRef path_left_data_bm_4))
           (portRef ALUT (instanceRef path_left_data_4))
          ))
          (net (rename regs_o_left_path_data_3 "regs_o_left_path_data[4]") (joined
           (portRef Z (instanceRef path_left_data_4))
           (portRef regs_o_left_path_data_3)
          ))
          (net N_336 (joined
           (portRef Z (instanceRef path_left_data_6_7))
           (portRef B (instanceRef path_left_data_7))
          ))
          (net (rename regs_o_left_path_data_6 "regs_o_left_path_data[7]") (joined
           (portRef Z (instanceRef path_left_data_7))
           (portRef regs_o_left_path_data_6)
          ))
          (net N_1179 (joined
           (portRef Z (instanceRef datamux_o_dest_0_11))
           (portRef A (instanceRef datamux_o_dest_11))
          ))
          (net N_1184 (joined
           (portRef N_1184)
           (portRef B (instanceRef datamux_o_dest_11))
          ))
          (net (rename alu_o_result_11 "alu_o_result[11]") (joined
           (portRef (member alu_o_result 0))
           (portRef C (instanceRef datamux_o_dest_11))
          ))
          (net (rename dec_o_p1_mode_1 "dec_o_p1_mode[1]") (joined
           (portRef (member dec_o_p1_mode 1))
           (portRef B (instanceRef state_cnst_0_10_1))
           (portRef D (instanceRef state_cnst_0_a9_4_1))
           (portRef B (instanceRef next_state_2_sqmuxa_2))
           (portRef B (instanceRef state_cnst_i_a3_6_0))
           (portRef C (instanceRef state_cnst_0_9_1))
           (portRef B (instanceRef state_cnst_i_a9_7_4))
           (portRef B (instanceRef k_memlo_1_sqmuxa))
          ))
          (net N_837 (joined
           (portRef Z (instanceRef state_cnst_i_a3_6_0))
           (portRef A (instanceRef state_cnst_i_o2_2_0))
           (portRef A (instanceRef state_cnst_i_a9_10_0))
          ))
          (net N_823 (joined
           (portRef N_823)
           (portRef B (instanceRef state_cnst_0_a9_4_1))
           (portRef A (instanceRef state_cnst_0_6_3))
           (portRef A (instanceRef state_cnst_i_a9_7_4))
          ))
          (net N_847 (joined
           (portRef N_847)
           (portRef B (instanceRef state_cnst_0_6_3))
           (portRef B (instanceRef state_cnst_0_a9_2))
          ))
          (net N_1864 (joined
           (portRef N_1864)
           (portRef C (instanceRef state_cnst_0_6_3))
          ))
          (net (rename state_cnst_0_5_3 "state_cnst_0_5[3]") (joined
           (portRef Z (instanceRef state_cnst_0_5_3))
           (portRef D (instanceRef state_cnst_0_6_3))
          ))
          (net N_811 (joined
           (portRef Z (instanceRef state_cnst_i_a9_8_4))
           (portRef C (instanceRef state_cnst_0_8_2))
           (portRef A (instanceRef state_cnst_i_6_4))
          ))
          (net N_1792 (joined
           (portRef N_1792)
           (portRef B (instanceRef state_cnst_i_a9_4))
           (portRef C (instanceRef state_cnst_i_6_4))
           (portRef C (instanceRef state_cnst_0_3))
          ))
          (net (rename state_cnst_i_4_4 "state_cnst_i_4[4]") (joined
           (portRef Z (instanceRef state_cnst_i_4_4))
           (portRef D (instanceRef state_cnst_i_6_4))
          ))
          (net N_734_i (joined
           (portRef N_734_i)
           (portRef A (instanceRef state_cnst_0_9_1))
          ))
          (net (rename state_cnst_0_8_1 "state_cnst_0_8[1]") (joined
           (portRef Z (instanceRef state_cnst_0_8_1))
           (portRef D (instanceRef state_cnst_0_9_1))
          ))
          (net next_state_2_sqmuxa_2 (joined
           (portRef Z (instanceRef next_state_2_sqmuxa_2))
           (portRef B (instanceRef next_state_2_sqmuxa_2_RNIB8SO5))
          ))
          (net (rename next_state_cnv_1_0 "next_state_cnv_1[0]") (joined
           (portRef Z (instanceRef un1_state_74_RNIF6082))
           (portRef C (instanceRef next_state_2_sqmuxa_2_RNIB8SO5))
          ))
          (net (rename next_state_cnv_3_0 "next_state_cnv_3[0]") (joined
           (portRef Z (instanceRef next_state_2_sqmuxa_2_RNIB8SO5))
           (portRef (member next_state_cnv_3 0))
          ))
          (net (rename path_left_data_am_10 "path_left_data_am[10]") (joined
           (portRef Z (instanceRef path_left_data_am_10))
           (portRef BLUT (instanceRef path_left_data_10))
          ))
          (net N_271 (joined
           (portRef Z (instanceRef path_left_data_2_10))
           (portRef B (instanceRef path_left_data_bm_10))
          ))
          (net N_321 (joined
           (portRef Z (instanceRef path_left_data_5_10))
           (portRef C (instanceRef path_left_data_bm_10))
          ))
          (net (rename path_left_data_bm_10 "path_left_data_bm[10]") (joined
           (portRef Z (instanceRef path_left_data_bm_10))
           (portRef ALUT (instanceRef path_left_data_10))
          ))
          (net (rename regs_o_left_path_data_9 "regs_o_left_path_data[10]") (joined
           (portRef Z (instanceRef path_left_data_10))
           (portRef regs_o_left_path_data_9)
          ))
          (net (rename datamux_o_alu_in_left_path_addr_1_0 "datamux_o_alu_in_left_path_addr_1[0]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_addr_1_0))
           (portRef A (instanceRef path_left_data_4_7))
           (portRef A (instanceRef path_left_data_6_RNO_4))
           (portRef A (instanceRef path_left_data_7_1_1_1))
           (portRef A (instanceRef path_left_data_0_5))
           (portRef A (instanceRef path_left_data_0_6))
           (portRef A (instanceRef path_left_data_0_4))
           (portRef A (instanceRef path_left_data_0_3))
           (portRef A (instanceRef path_left_data_0_1))
           (portRef A (instanceRef path_left_data_0_7))
           (portRef A (instanceRef path_left_data_2_14))
           (portRef A (instanceRef path_left_data_2_8))
           (portRef A (instanceRef path_left_data_1_6))
           (portRef A (instanceRef path_left_data_3_6))
           (portRef A (instanceRef path_left_data_2_6))
           (portRef A (instanceRef path_left_data_1_5))
           (portRef A (instanceRef path_left_data_3_5))
           (portRef A (instanceRef path_left_data_2_5))
           (portRef A (instanceRef path_left_data_1_4))
           (portRef A (instanceRef path_left_data_3_4))
           (portRef A (instanceRef path_left_data_2_4))
           (portRef A (instanceRef path_left_data_1_3))
           (portRef A (instanceRef path_left_data_3_3))
           (portRef A (instanceRef path_left_data_2_3))
           (portRef A (instanceRef path_left_data_2_2))
           (portRef A (instanceRef path_left_data_2_15))
           (portRef A (instanceRef path_left_data_2_13))
           (portRef A (instanceRef path_left_data_2_12))
           (portRef A (instanceRef path_left_data_2_11))
           (portRef A (instanceRef path_left_data_2_10))
           (portRef A (instanceRef path_left_data_2_9))
           (portRef A (instanceRef path_left_data_i_m3_1_0))
           (portRef A (instanceRef path_left_data_2_7))
           (portRef A (instanceRef path_left_data_4_1))
           (portRef A (instanceRef path_left_data_4_5))
           (portRef A (instanceRef path_left_data_4_6))
           (portRef A (instanceRef path_left_data_5_bm_14))
           (portRef A (instanceRef path_left_data_5_am_14))
           (portRef A (instanceRef path_left_data_5_bm_8))
           (portRef A (instanceRef path_left_data_5_am_8))
           (portRef A (instanceRef path_left_data_5_bm_2))
           (portRef A (instanceRef path_left_data_5_am_2))
           (portRef A (instanceRef path_left_data_5_bm_1))
           (portRef A (instanceRef path_left_data_5_bm_15))
           (portRef A (instanceRef path_left_data_5_am_15))
           (portRef A (instanceRef path_left_data_5_bm_13))
           (portRef A (instanceRef path_left_data_5_am_13))
           (portRef A (instanceRef path_left_data_5_bm_12))
           (portRef A (instanceRef path_left_data_5_am_12))
           (portRef A (instanceRef path_left_data_5_bm_11))
           (portRef A (instanceRef path_left_data_5_am_11))
           (portRef A (instanceRef path_left_data_5_bm_10))
           (portRef A (instanceRef path_left_data_5_am_10))
           (portRef A (instanceRef path_left_data_5_bm_9))
           (portRef A (instanceRef path_left_data_5_am_9))
           (portRef A (instanceRef path_left_data_5_bm_7))
           (portRef A (instanceRef path_left_data_5_am_7))
           (portRef A (instanceRef path_left_data_am_RNO_4))
           (portRef A (instanceRef path_left_data_6_RNO_3))
           (portRef A (instanceRef path_left_data_6_bm_5))
           (portRef A (instanceRef path_left_data_6_am_5))
           (portRef A (instanceRef path_left_data_6_bm_6))
           (portRef A (instanceRef path_left_data_6_am_6))
           (portRef B (instanceRef ACCA_RNI000M2_0))
           (portRef A (instanceRef path_left_data_7_bm_3))
           (portRef C0 (instanceRef path_left_data_i_m3_2_0))
           (portRef A (instanceRef path_left_data_6_bm_2))
           (portRef A (instanceRef path_left_data_6_am_2))
           (portRef A (instanceRef path_left_data_6_bm_7))
           (portRef A (instanceRef path_left_data_6_am_7))
           (portRef A (instanceRef path_left_data_am_9))
           (portRef A (instanceRef path_left_data_am_15))
           (portRef A (instanceRef path_left_data_am_13))
           (portRef A (instanceRef path_left_data_am_12))
           (portRef A (instanceRef path_left_data_am_11))
           (portRef A (instanceRef path_left_data_am_10))
           (portRef (member datamux_o_alu_in_left_path_addr_1 2))
          ))
          (net (rename datamux_o_alu_in_left_path_addr_1_1 "datamux_o_alu_in_left_path_addr_1[1]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_addr_1_1))
           (portRef B (instanceRef path_left_data_6_4))
           (portRef C (instanceRef datamux_o_alu_in_left_path_addr_1_RNI208S7_1))
           (portRef B (instanceRef path_left_data_7_1))
           (portRef C0 (instanceRef path_left_data_5_4))
           (portRef C0 (instanceRef path_left_data_5_14))
           (portRef C0 (instanceRef path_left_data_5_8))
           (portRef A (instanceRef path_left_data_5_6))
           (portRef A (instanceRef path_left_data_5_5))
           (portRef C0 (instanceRef path_left_data_5_2))
           (portRef C0 (instanceRef path_left_data_5_15))
           (portRef C0 (instanceRef path_left_data_5_13))
           (portRef C0 (instanceRef path_left_data_5_12))
           (portRef C0 (instanceRef path_left_data_5_11))
           (portRef C0 (instanceRef path_left_data_5_10))
           (portRef C0 (instanceRef path_left_data_5_9))
           (portRef C0 (instanceRef path_left_data_5_7))
           (portRef B (instanceRef path_left_data_am_RNO_4))
           (portRef B (instanceRef path_left_data_6_RNO_3))
           (portRef A (instanceRef path_left_data_7_am_3))
           (portRef A (instanceRef path_left_data_i_m3_2_bm_0))
           (portRef A (instanceRef path_left_data_i_m3_2_am_0))
           (portRef B (instanceRef path_left_data_6_am_2))
           (portRef B (instanceRef path_left_data_6_am_7))
           (portRef B (instanceRef path_left_data_am_9))
           (portRef B (instanceRef path_left_data_am_15))
           (portRef B (instanceRef path_left_data_am_13))
           (portRef B (instanceRef path_left_data_am_12))
           (portRef B (instanceRef path_left_data_am_11))
           (portRef B (instanceRef path_left_data_am_10))
           (portRef (member datamux_o_alu_in_left_path_addr_1 1))
          ))
          (net (rename path_left_data_am_11 "path_left_data_am[11]") (joined
           (portRef Z (instanceRef path_left_data_am_11))
           (portRef BLUT (instanceRef path_left_data_11))
          ))
          (net N_272 (joined
           (portRef Z (instanceRef path_left_data_2_11))
           (portRef B (instanceRef path_left_data_bm_11))
          ))
          (net N_322 (joined
           (portRef Z (instanceRef path_left_data_5_11))
           (portRef C (instanceRef path_left_data_bm_11))
          ))
          (net (rename path_left_data_bm_11 "path_left_data_bm[11]") (joined
           (portRef Z (instanceRef path_left_data_bm_11))
           (portRef ALUT (instanceRef path_left_data_11))
          ))
          (net (rename regs_o_left_path_data_10 "regs_o_left_path_data[11]") (joined
           (portRef Z (instanceRef path_left_data_11))
           (portRef regs_o_left_path_data_10)
          ))
          (net (rename path_left_data_am_12 "path_left_data_am[12]") (joined
           (portRef Z (instanceRef path_left_data_am_12))
           (portRef BLUT (instanceRef path_left_data_12))
          ))
          (net N_273 (joined
           (portRef Z (instanceRef path_left_data_2_12))
           (portRef B (instanceRef path_left_data_bm_12))
          ))
          (net N_323 (joined
           (portRef Z (instanceRef path_left_data_5_12))
           (portRef C (instanceRef path_left_data_bm_12))
          ))
          (net (rename path_left_data_bm_12 "path_left_data_bm[12]") (joined
           (portRef Z (instanceRef path_left_data_bm_12))
           (portRef ALUT (instanceRef path_left_data_12))
          ))
          (net (rename regs_o_left_path_data_11 "regs_o_left_path_data[12]") (joined
           (portRef Z (instanceRef path_left_data_12))
           (portRef regs_o_left_path_data_11)
          ))
          (net (rename path_left_data_am_13 "path_left_data_am[13]") (joined
           (portRef Z (instanceRef path_left_data_am_13))
           (portRef BLUT (instanceRef path_left_data_13))
          ))
          (net N_274 (joined
           (portRef Z (instanceRef path_left_data_2_13))
           (portRef B (instanceRef path_left_data_bm_13))
          ))
          (net N_324 (joined
           (portRef Z (instanceRef path_left_data_5_13))
           (portRef C (instanceRef path_left_data_bm_13))
          ))
          (net (rename path_left_data_bm_13 "path_left_data_bm[13]") (joined
           (portRef Z (instanceRef path_left_data_bm_13))
           (portRef ALUT (instanceRef path_left_data_13))
          ))
          (net (rename regs_o_left_path_data_12 "regs_o_left_path_data[13]") (joined
           (portRef Z (instanceRef path_left_data_13))
           (portRef regs_o_left_path_data_12)
          ))
          (net N_334 (joined
           (portRef Z (instanceRef path_left_data_6_5))
           (portRef B (instanceRef path_left_data_5))
          ))
          (net (rename regs_o_left_path_data_4 "regs_o_left_path_data[5]") (joined
           (portRef Z (instanceRef path_left_data_5))
           (portRef regs_o_left_path_data_4)
          ))
          (net N_335 (joined
           (portRef Z (instanceRef path_left_data_6_6))
           (portRef B (instanceRef path_left_data_6))
          ))
          (net (rename regs_o_left_path_data_5 "regs_o_left_path_data[6]") (joined
           (portRef Z (instanceRef path_left_data_6))
           (portRef regs_o_left_path_data_5)
          ))
          (net (rename path_left_data_am_15 "path_left_data_am[15]") (joined
           (portRef Z (instanceRef path_left_data_am_15))
           (portRef BLUT (instanceRef path_left_data_15))
          ))
          (net N_276 (joined
           (portRef Z (instanceRef path_left_data_2_15))
           (portRef B (instanceRef path_left_data_bm_15))
          ))
          (net N_326 (joined
           (portRef Z (instanceRef path_left_data_5_15))
           (portRef C (instanceRef path_left_data_bm_15))
          ))
          (net (rename path_left_data_bm_15 "path_left_data_bm[15]") (joined
           (portRef Z (instanceRef path_left_data_bm_15))
           (portRef ALUT (instanceRef path_left_data_15))
          ))
          (net (rename regs_o_left_path_data_14 "regs_o_left_path_data[15]") (joined
           (portRef Z (instanceRef path_left_data_15))
           (portRef regs_o_left_path_data_14)
          ))
          (net (rename path_left_data_am_9 "path_left_data_am[9]") (joined
           (portRef Z (instanceRef path_left_data_am_9))
           (portRef BLUT (instanceRef path_left_data_9))
          ))
          (net N_270 (joined
           (portRef Z (instanceRef path_left_data_2_9))
           (portRef B (instanceRef path_left_data_bm_9))
          ))
          (net N_320 (joined
           (portRef Z (instanceRef path_left_data_5_9))
           (portRef C (instanceRef path_left_data_bm_9))
          ))
          (net (rename path_left_data_bm_9 "path_left_data_bm[9]") (joined
           (portRef Z (instanceRef path_left_data_bm_9))
           (portRef ALUT (instanceRef path_left_data_9))
          ))
          (net (rename regs_o_left_path_data_8 "regs_o_left_path_data[9]") (joined
           (portRef Z (instanceRef path_left_data_9))
           (portRef regs_o_left_path_data_8)
          ))
          (net N_330 (joined
           (portRef Z (instanceRef path_left_data_6_1))
           (portRef B (instanceRef path_left_data_1))
          ))
          (net (rename regs_o_left_path_data_0 "regs_o_left_path_data[1]") (joined
           (portRef Z (instanceRef path_left_data_1))
           (portRef regs_o_left_path_data_0)
          ))
          (net un1_write_reg_addr_4 (joined
           (portRef Z (instanceRef un1_write_reg_addr_4))
           (portRef B (instanceRef un1_write_reg_addr_4_RNI048L))
          ))
          (net state68 (joined
           (portRef state68)
           (portRef D (instanceRef state_cnst_0_10_1))
           (portRef D (instanceRef state_cnst_i_a9_8_4))
           (portRef D (instanceRef state_cnst_i_a3_6_0))
           (portRef D (instanceRef state_cnst_i_a9_7_4))
          ))
          (net N_720 (joined
           (portRef Z (instanceRef state54_2_RNIC2741_0))
           (portRef A (instanceRef state_cnst_0_a9_4_1))
           (portRef A (instanceRef state_cnst_i_a9_4))
           (portRef N_720)
          ))
          (net N_828 (joined
           (portRef N_828)
           (portRef A (instanceRef state_cnst_0_10_1_1))
           (portRef C (instanceRef state_cnst_0_a9_4_1))
           (portRef B (instanceRef state_cnst_0_9_1))
          ))
          (net (rename state_cnst_0_5_2 "state_cnst_0_5[2]") (joined
           (portRef Z (instanceRef state_cnst_0_5_2))
           (portRef D (instanceRef state_cnst_0_8_2))
          ))
          (net (rename datamux_o_dest_reg_addr_1 "datamux_o_dest_reg_addr[1]") (joined
           (portRef Z (instanceRef datamux_o_dest_reg_addr_1))
           (portRef A (instanceRef SS_2_sqmuxa_1_1))
           (portRef B (instanceRef datamux_o_dest_reg_addr_RNI405O_0))
           (portRef A (instanceRef cff_1_sqmuxa_1))
           (portRef A (instanceRef IY_1_sqmuxa_1))
           (portRef A (instanceRef SU_1_sqmuxa_3))
           (portRef B (instanceRef un1_write_reg_addr_4))
          ))
          (net (rename datamux_o_dest_reg_addr_2 "datamux_o_dest_reg_addr[2]") (joined
           (portRef Z (instanceRef datamux_o_dest_reg_addr_2))
           (portRef B (instanceRef cff_1_sqmuxa_1))
           (portRef B (instanceRef PC_1_sqmuxa_0))
           (portRef A (instanceRef SS_2_sqmuxa_1))
           (portRef B (instanceRef IX_1_sqmuxa))
           (portRef A (instanceRef ACCB22_RNIDEV81))
           (portRef A (instanceRef ACCB22_RNI6UCG1))
           (portRef B (instanceRef IY_1_sqmuxa_1))
           (portRef B (instanceRef SU_1_sqmuxa_3))
           (portRef C (instanceRef un1_write_reg_addr_4))
          ))
          (net N_1167 (joined
           (portRef N_1167)
           (portRef A (instanceRef datamux_o_dest_0_11))
          ))
          (net (rename k_memhi_3 "k_memhi[3]") (joined
           (portRef (member k_memhi 0))
           (portRef B (instanceRef datamux_o_dest_0_11))
          ))
          (net (rename regs_o_dp_7 "regs_o_dp[7]") (joined
           (portRef Q (instanceRef DP_7))
           (portRef D (instanceRef path_left_data_4_sx_7))
           (portRef D (instanceRef path_left_data_6_am_7))
           (portRef regs_o_dp_7)
          ))
          (net (rename path_left_data_6_am_7 "path_left_data_6_am[7]") (joined
           (portRef Z (instanceRef path_left_data_6_am_7))
           (portRef BLUT (instanceRef path_left_data_6_7))
          ))
          (net (rename path_left_data_6_bm_7 "path_left_data_6_bm[7]") (joined
           (portRef Z (instanceRef path_left_data_6_bm_7))
           (portRef ALUT (instanceRef path_left_data_6_7))
          ))
          (net N_327 (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_addr_1_0_RNIVRLE4_1))
           (portRef D (instanceRef path_left_data_6_4))
           (portRef C0 (instanceRef path_left_data_6_3))
           (portRef C0 (instanceRef path_left_data_6_5))
           (portRef C0 (instanceRef path_left_data_6_6))
           (portRef C (instanceRef path_left_data_6_1))
           (portRef C0 (instanceRef path_left_data_6_2))
           (portRef C0 (instanceRef path_left_data_6_7))
           (portRef C (instanceRef path_left_data_am_4))
           (portRef N_327)
          ))
          (net (rename path_left_data_6_am_2 "path_left_data_6_am[2]") (joined
           (portRef Z (instanceRef path_left_data_6_am_2))
           (portRef BLUT (instanceRef path_left_data_6_2))
          ))
          (net (rename path_left_data_6_bm_2 "path_left_data_6_bm[2]") (joined
           (portRef Z (instanceRef path_left_data_6_bm_2))
           (portRef ALUT (instanceRef path_left_data_6_2))
          ))
          (net N_331 (joined
           (portRef Z (instanceRef path_left_data_6_2))
           (portRef B (instanceRef path_left_data_2))
           (portRef N_331)
          ))
          (net (rename DP_cnv_2_0 "DP_cnv_2[0]") (joined
           (portRef Z (instanceRef datamux_o_dest_reg_addr_RNI405O_0))
           (portRef C (instanceRef ACCB22_RNIDEV81))
          ))
          (net SS_2_sqmuxa_1_1 (joined
           (portRef Z (instanceRef SS_2_sqmuxa_1_1))
           (portRef C (instanceRef SS_2_sqmuxa_1))
          ))
          (net N_754 (joined
           (portRef N_754)
           (portRef A (instanceRef state_cnst_0_8_1))
          ))
          (net (rename state_cnst_0_7_1 "state_cnst_0_7[1]") (joined
           (portRef Z (instanceRef state_cnst_0_7_1))
           (portRef B (instanceRef state_cnst_0_8_1))
          ))
          (net N_351 (joined
           (portRef Z (instanceRef path_left_data_7_7))
           (portRef C (instanceRef path_left_data_7))
           (portRef N_351)
          ))
          (net (rename path_left_data_i_m3_2_am_0 "path_left_data_i_m3_2_am[0]") (joined
           (portRef Z (instanceRef path_left_data_i_m3_2_am_0))
           (portRef BLUT (instanceRef path_left_data_i_m3_2_0))
          ))
          (net (rename IX_0 "IX[0]") (joined
           (portRef Q (instanceRef IX_0))
           (portRef B (instanceRef ea_reg_3_i_m3_am_0))
           (portRef B (instanceRef path_left_data_i_m3_2_bm_0))
           (portRef IX_0)
          ))
          (net (rename path_left_data_i_m3_2_bm_0 "path_left_data_i_m3_2_bm[0]") (joined
           (portRef Z (instanceRef path_left_data_i_m3_2_bm_0))
           (portRef ALUT (instanceRef path_left_data_i_m3_2_0))
          ))
          (net (rename path_left_data_7_am_3 "path_left_data_7_am[3]") (joined
           (portRef Z (instanceRef path_left_data_7_am_3))
           (portRef BLUT (instanceRef path_left_data_7_3))
          ))
          (net (rename path_left_data_7_bm_3 "path_left_data_7_bm[3]") (joined
           (portRef Z (instanceRef path_left_data_7_bm_3))
           (portRef ALUT (instanceRef path_left_data_7_3))
          ))
          (net N_269 (joined
           (portRef Z (instanceRef path_left_data_2_8))
           (portRef B (instanceRef path_left_data_7_8))
          ))
          (net N_319 (joined
           (portRef Z (instanceRef path_left_data_5_8))
           (portRef C (instanceRef path_left_data_7_8))
          ))
          (net N_352 (joined
           (portRef Z (instanceRef path_left_data_7_8))
           (portRef N_352)
          ))
          (net N_275 (joined
           (portRef Z (instanceRef path_left_data_2_14))
           (portRef B (instanceRef path_left_data_7_14))
          ))
          (net N_325 (joined
           (portRef Z (instanceRef path_left_data_5_14))
           (portRef C (instanceRef path_left_data_7_14))
          ))
          (net N_358 (joined
           (portRef Z (instanceRef path_left_data_7_14))
           (portRef N_358)
          ))
          (net N_1993 (joined
           (portRef Z (instanceRef datamux_o_alu_in_right_path_data_i_m2_0))
           (portRef B (instanceRef datamux_o_alu_in_right_path_data_i_1_0))
           (portRef B (instanceRef datamux_o_alu_in_right_path_data_i_m2_RNI7FNO_0))
           (portRef B (instanceRef datamux_o_alu_in_right_path_data_i_m2_RNIVJV3E_0))
           (portRef N_1993)
          ))
          (net N_47_i (joined
           (portRef Z (instanceRef datamux_o_alu_in_right_path_data_i_m2_RNI7FNO_0))
           (portRef N_47_i)
          ))
          (net (rename ACCA_RNI000M2_0 "ACCA_RNI000M2[0]") (joined
           (portRef Z (instanceRef ACCA_RNI000M2_0))
           (portRef B (instanceRef datamux_o_alu_in_left_path_addr_1_RNI208S7_1))
          ))
          (net N_238 (joined
           (portRef Z (instanceRef path_left_data_0_1))
           (portRef A (instanceRef path_left_data_6_1))
          ))
          (net (rename regs_o_dp_6 "regs_o_dp[6]") (joined
           (portRef Q (instanceRef DP_6))
           (portRef C (instanceRef path_left_data_4_6))
           (portRef C (instanceRef path_left_data_6_am_6))
           (portRef regs_o_dp_6)
          ))
          (net (rename path_left_data_6_am_6 "path_left_data_6_am[6]") (joined
           (portRef Z (instanceRef path_left_data_6_am_6))
           (portRef BLUT (instanceRef path_left_data_6_6))
          ))
          (net (rename path_left_data_6_bm_6 "path_left_data_6_bm[6]") (joined
           (portRef Z (instanceRef path_left_data_6_bm_6))
           (portRef ALUT (instanceRef path_left_data_6_6))
          ))
          (net (rename path_left_data_6_am_5 "path_left_data_6_am[5]") (joined
           (portRef Z (instanceRef path_left_data_6_am_5))
           (portRef BLUT (instanceRef path_left_data_6_5))
          ))
          (net (rename path_left_data_6_bm_5 "path_left_data_6_bm[5]") (joined
           (portRef Z (instanceRef path_left_data_6_bm_5))
           (portRef ALUT (instanceRef path_left_data_6_5))
          ))
          (net un1_state_15 (joined
           (portRef Z (instanceRef un1_state_15))
           (portRef A (instanceRef un1_state_71))
           (portRef D (instanceRef k_write_post_incdec_6))
           (portRef C (instanceRef un1_state_15_RNIK6741))
           (portRef un1_state_15)
          ))
          (net k_write_post_incdec_6 (joined
           (portRef Z (instanceRef k_write_post_incdec_6))
           (portRef k_write_post_incdec_6)
          ))
          (net (rename state_cnst_i_3_4 "state_cnst_i_3[4]") (joined
           (portRef Z (instanceRef state_cnst_i_3_4))
           (portRef C (instanceRef state_cnst_i_4_4))
          ))
          (net un1_state_20_1 (joined
           (portRef un1_state_20_1)
           (portRef C (instanceRef state_cnst_0_3_1))
           (portRef D (instanceRef state_cnst_i_4_4))
           (portRef C (instanceRef state_cnst_0_8_1))
          ))
          (net N_1765_i (joined
           (portRef Z (instanceRef datamux_o_alu_in_right_path_data_i_1_0))
           (portRef N_1765_i)
          ))
          (net (rename alu_o_CCR_0 "alu_o_CCR[1]") (joined
           (portRef alu_o_CCR_0)
           (portRef A (instanceRef vff_6))
          ))
          (net (rename datamux_o_destf_0 "datamux_o_destf[1]") (joined
           (portRef Q (instanceRef vff_pipe))
           (portRef B (instanceRef vff_6))
           (portRef datamux_o_destf_0)
          ))
          (net un1_dec_o_write_flagsf (joined
           (portRef Q (instanceRef hflag_pipe_2))
           (portRef C (instanceRef hflag_6))
           (portRef C (instanceRef zff_6))
           (portRef C (instanceRef nff_6))
           (portRef C (instanceRef vff_6))
           (portRef un1_dec_o_write_flagsf)
          ))
          (net (rename regs_o_CCR_1 "regs_o_CCR[1]") (joined
           (portRef Z (instanceRef vff_6))
           (portRef regs_o_CCR_1)
          ))
          (net path_N_3_mux_0 (joined
           (portRef Z (instanceRef path_left_data_6_RNO_3))
           (portRef BLUT (instanceRef path_left_data_6_3))
          ))
          (net (rename regs_o_dp_4 "regs_o_dp[4]") (joined
           (portRef Q (instanceRef DP_4))
           (portRef C (instanceRef path_left_data_6_RNO_4))
           (portRef A (instanceRef DP_RNI8P151_4))
           (portRef B (instanceRef DP_RNIISPB2_4))
           (portRef D (instanceRef path_left_data_am_RNO_4))
           (portRef regs_o_dp_4)
          ))
          (net (rename path_left_data_5_am_7 "path_left_data_5_am[7]") (joined
           (portRef Z (instanceRef path_left_data_5_am_7))
           (portRef BLUT (instanceRef path_left_data_5_7))
          ))
          (net (rename path_left_data_5_bm_7 "path_left_data_5_bm[7]") (joined
           (portRef Z (instanceRef path_left_data_5_bm_7))
           (portRef ALUT (instanceRef path_left_data_5_7))
          ))
          (net N_318 (joined
           (portRef Z (instanceRef path_left_data_5_7))
           (portRef C (instanceRef path_left_data_7_7))
           (portRef N_318)
          ))
          (net (rename ACCA_1 "ACCA[1]") (joined
           (portRef Q (instanceRef ACCA_1))
           (portRef C (instanceRef un1_eapostbyte_9_0_a2_1))
           (portRef D (instanceRef un1_eapostbyte_9_1_0_9))
           (portRef B (instanceRef path_right_data_0_1))
           (portRef B (instanceRef path_left_data_0_1))
           (portRef B (instanceRef path_left_data_5_am_9))
           (portRef ACCA_1)
          ))
          (net (rename path_left_data_5_am_9 "path_left_data_5_am[9]") (joined
           (portRef Z (instanceRef path_left_data_5_am_9))
           (portRef BLUT (instanceRef path_left_data_5_9))
          ))
          (net (rename path_left_data_5_bm_9 "path_left_data_5_bm[9]") (joined
           (portRef Z (instanceRef path_left_data_5_bm_9))
           (portRef ALUT (instanceRef path_left_data_5_9))
          ))
          (net (rename path_left_data_5_am_10 "path_left_data_5_am[10]") (joined
           (portRef Z (instanceRef path_left_data_5_am_10))
           (portRef BLUT (instanceRef path_left_data_5_10))
          ))
          (net (rename path_left_data_5_bm_10 "path_left_data_5_bm[10]") (joined
           (portRef Z (instanceRef path_left_data_5_bm_10))
           (portRef ALUT (instanceRef path_left_data_5_10))
          ))
          (net (rename path_left_data_5_am_11 "path_left_data_5_am[11]") (joined
           (portRef Z (instanceRef path_left_data_5_am_11))
           (portRef BLUT (instanceRef path_left_data_5_11))
          ))
          (net (rename path_left_data_5_bm_11 "path_left_data_5_bm[11]") (joined
           (portRef Z (instanceRef path_left_data_5_bm_11))
           (portRef ALUT (instanceRef path_left_data_5_11))
          ))
          (net (rename path_left_data_5_am_12 "path_left_data_5_am[12]") (joined
           (portRef Z (instanceRef path_left_data_5_am_12))
           (portRef BLUT (instanceRef path_left_data_5_12))
          ))
          (net (rename path_left_data_5_bm_12 "path_left_data_5_bm[12]") (joined
           (portRef Z (instanceRef path_left_data_5_bm_12))
           (portRef ALUT (instanceRef path_left_data_5_12))
          ))
          (net (rename path_left_data_5_am_13 "path_left_data_5_am[13]") (joined
           (portRef Z (instanceRef path_left_data_5_am_13))
           (portRef BLUT (instanceRef path_left_data_5_13))
          ))
          (net (rename path_left_data_5_bm_13 "path_left_data_5_bm[13]") (joined
           (portRef Z (instanceRef path_left_data_5_bm_13))
           (portRef ALUT (instanceRef path_left_data_5_13))
          ))
          (net (rename path_left_data_5_am_15 "path_left_data_5_am[15]") (joined
           (portRef Z (instanceRef path_left_data_5_am_15))
           (portRef BLUT (instanceRef path_left_data_5_15))
          ))
          (net (rename path_left_data_5_bm_15 "path_left_data_5_bm[15]") (joined
           (portRef Z (instanceRef path_left_data_5_bm_15))
           (portRef ALUT (instanceRef path_left_data_5_15))
          ))
          (net (rename path_left_data_5_bm_1 "path_left_data_5_bm[1]") (joined
           (portRef Z (instanceRef path_left_data_5_bm_1))
           (portRef A (instanceRef path_left_data_7_1))
          ))
          (net (rename path_left_data_5_am_2 "path_left_data_5_am[2]") (joined
           (portRef Z (instanceRef path_left_data_5_am_2))
           (portRef BLUT (instanceRef path_left_data_5_2))
          ))
          (net (rename path_left_data_5_bm_2 "path_left_data_5_bm[2]") (joined
           (portRef Z (instanceRef path_left_data_5_bm_2))
           (portRef ALUT (instanceRef path_left_data_5_2))
          ))
          (net N_313 (joined
           (portRef Z (instanceRef path_left_data_5_2))
           (portRef C (instanceRef path_left_data_7_2))
           (portRef N_313)
          ))
          (net N_250 (joined
           (portRef Z (instanceRef path_left_data_1_5))
           (portRef B (instanceRef path_left_data_5_5))
          ))
          (net N_282 (joined
           (portRef Z (instanceRef path_left_data_3_5))
           (portRef C (instanceRef path_left_data_5_5))
          ))
          (net N_316 (joined
           (portRef Z (instanceRef path_left_data_5_5))
           (portRef BLUT (instanceRef path_left_data_7_5))
          ))
          (net N_251 (joined
           (portRef Z (instanceRef path_left_data_1_6))
           (portRef B (instanceRef path_left_data_5_6))
          ))
          (net N_283 (joined
           (portRef Z (instanceRef path_left_data_3_6))
           (portRef C (instanceRef path_left_data_5_6))
          ))
          (net N_317 (joined
           (portRef Z (instanceRef path_left_data_5_6))
           (portRef BLUT (instanceRef path_left_data_7_6))
          ))
          (net (rename path_left_data_5_am_8 "path_left_data_5_am[8]") (joined
           (portRef Z (instanceRef path_left_data_5_am_8))
           (portRef BLUT (instanceRef path_left_data_5_8))
          ))
          (net (rename path_left_data_5_bm_8 "path_left_data_5_bm[8]") (joined
           (portRef Z (instanceRef path_left_data_5_bm_8))
           (portRef ALUT (instanceRef path_left_data_5_8))
          ))
          (net (rename path_left_data_5_am_14 "path_left_data_5_am[14]") (joined
           (portRef Z (instanceRef path_left_data_5_am_14))
           (portRef BLUT (instanceRef path_left_data_5_14))
          ))
          (net (rename path_left_data_5_bm_14 "path_left_data_5_bm[14]") (joined
           (portRef Z (instanceRef path_left_data_5_bm_14))
           (portRef ALUT (instanceRef path_left_data_5_14))
          ))
          (net N_960 (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_addr_1_0_1))
           (portRef A (instanceRef path_left_data_4_sx_7))
           (portRef A (instanceRef path_left_data_i_m3_4_0))
           (portRef A (instanceRef datamux_o_alu_in_left_path_addr_1_1))
           (portRef A (instanceRef datamux_o_alu_in_left_path_addr_1_0_RNIVRLE4_1))
          ))
          (net N_174 (joined
           (portRef N_174)
           (portRef A (instanceRef datamux_o_alu_in_right_path_data_i_m2_0))
          ))
          (net N_201 (joined
           (portRef Z (instanceRef datamux_o_alu_in_right_path_data_i_a3_3_0))
           (portRef B (instanceRef datamux_o_alu_in_right_path_data_i_m2_0))
          ))
          (net (rename k_memlo_0 "k_memlo[0]") (joined
           (portRef (member k_memlo 0))
           (portRef D (instanceRef datamux_o_alu_in_right_path_data_i_m2_0))
          ))
          (net N_98 (joined
           (portRef N_98)
           (portRef A (instanceRef datamux_o_alu_in_right_path_data_0_sqmuxa_i_1_1))
           (portRef A (instanceRef path_right_data_5))
           (portRef A (instanceRef path_right_data_6))
           (portRef A (instanceRef path_right_data_1))
           (portRef B (instanceRef ACCB_RNIP39B_0))
          ))
          (net N_1855 (joined
           (portRef N_1855)
           (portRef B (instanceRef path_right_data_5))
           (portRef B (instanceRef path_right_data_6))
           (portRef B (instanceRef path_right_data_1))
           (portRef C (instanceRef datamux_o_alu_in_right_path_data_i_m2_0))
          ))
          (net N_380 (joined
           (portRef Z (instanceRef path_right_data_0_1))
           (portRef C (instanceRef path_right_data_1))
          ))
          (net (rename regs_o_right_path_data_0 "regs_o_right_path_data[1]") (joined
           (portRef Z (instanceRef path_right_data_1))
           (portRef regs_o_right_path_data_0)
          ))
          (net N_385 (joined
           (portRef Z (instanceRef path_right_data_0_6))
           (portRef C (instanceRef path_right_data_6))
          ))
          (net (rename regs_o_right_path_data_5 "regs_o_right_path_data[6]") (joined
           (portRef Z (instanceRef path_right_data_6))
           (portRef regs_o_right_path_data_5)
          ))
          (net N_384 (joined
           (portRef Z (instanceRef path_right_data_0_5))
           (portRef C (instanceRef path_right_data_5))
          ))
          (net (rename regs_o_right_path_data_4 "regs_o_right_path_data[5]") (joined
           (portRef Z (instanceRef path_right_data_5))
           (portRef regs_o_right_path_data_4)
          ))
          (net (rename k_pp_regs_6 "k_pp_regs[6]") (joined
           (portRef (member k_pp_regs 1))
           (portRef D (instanceRef un1_k_pp_regs_4_sqmuxa_0_705_a3_0_4))
           (portRef A (instanceRef state160lto7_4))
           (portRef D (instanceRef un1_k_pp_regs_7_sqmuxa_0_628_a3_0_3))
           (portRef A (instanceRef k_pp_regs_6_sqmuxa_1))
           (portRef A (instanceRef un1_k_pp_regs_1_sqmuxa))
           (portRef A (instanceRef un75_RNIMUUQ))
           (portRef B (instanceRef un75_RNI5HS71))
          ))
          (net un75 (joined
           (portRef Z (instanceRef un75))
           (portRef C (instanceRef k_pp_regs_6_sqmuxa_1))
           (portRef B (instanceRef un75_RNIMUUQ))
           (portRef A (instanceRef un75_RNI5HS71))
           (portRef un75)
          ))
          (net N_1498_i (joined
           (portRef Z (instanceRef un75_RNIMUUQ))
           (portRef N_1498_i)
          ))
          (net N_1724 (joined
           (portRef Z (instanceRef un75_RNIM9IC2_0))
           (portRef N_1724)
          ))
          (net (rename state_23_iv_0_3 "state_23_iv_0[3]") (joined
           (portRef Z (instanceRef state_23_iv_0_3))
           (portRef B (instanceRef state_23_iv_1_3))
          ))
          (net (rename next_push_state_1 "next_push_state[1]") (joined
           (portRef (member next_push_state 0))
           (portRef D (instanceRef state_23_0_iv_i_4))
           (portRef A (instanceRef state_23_iv_0_1))
           (portRef A (instanceRef state_2_sqmuxa_6_RNI96LT))
          ))
          (net (rename next_state_1 "next_state[1]") (joined
           (portRef next_state_1)
           (portRef B (instanceRef state_23_iv_0_1))
          ))
          (net un1_state_1_sqmuxa (joined
           (portRef Z (instanceRef un1_state_1_sqmuxa))
           (portRef C (instanceRef state_23_0_iv_i_4))
           (portRef C (instanceRef state_23_iv_1_0))
           (portRef C (instanceRef state_23_iv_0_1))
           (portRef C (instanceRef state_23_iv_1_3))
           (portRef un1_state_1_sqmuxa)
          ))
          (net N_301 (joined
           (portRef Z (instanceRef path_left_data_4_6))
           (portRef N_301)
          ))
          (net N_300 (joined
           (portRef Z (instanceRef path_left_data_4_5))
           (portRef N_300)
          ))
          (net N_296 (joined
           (portRef Z (instanceRef path_left_data_4_1))
           (portRef B (instanceRef path_left_data_6_1))
           (portRef N_296)
          ))
          (net state120_0 (joined
           (portRef Z (instanceRef state120_0))
           (portRef C (instanceRef state_cnst_0_o2_1_2))
          ))
          (net N_972 (joined
           (portRef Z (instanceRef datamux_o_dest_reg_addr_0_2))
           (portRef A (instanceRef datamux_o_dest_reg_addr_2))
          ))
          (net N_157 (joined
           (portRef Z (instanceRef un1_PC_1_1_m2_0))
           (portRef C1 (instanceRef eamem_addr_cry_0_0))
           (portRef A (instanceRef eamem_addr_axb_0))
          ))
          (net N_630 (joined
           (portRef Z (instanceRef un1_eapostbyte_9_6_0))
           (portRef A1 (instanceRef eamem_addr_cry_0_0))
           (portRef B (instanceRef eamem_addr_axb_0))
          ))
          (net un1_ea_reg_post18_5_1 (joined
           (portRef Z (instanceRef un1_ea_reg_post18_5_1))
           (portRef D1 (instanceRef eamem_addr_cry_0_0))
           (portRef D1 (instanceRef eamem_addr_cry_1_0))
           (portRef D1 (instanceRef eamem_addr_cry_5_0))
           (portRef A (instanceRef un1_ea_reg_post18_5))
           (portRef D (instanceRef eamem_addr_axb_0))
          ))
          (net (rename dec_o_dest_reg_addr_2 "dec_o_dest_reg_addr[2]") (joined
           (portRef (member dec_o_dest_reg_addr 1))
           (portRef B (instanceRef datamux_o_dest_reg_addr_0_2))
           (portRef C (instanceRef state119))
           (portRef A (instanceRef ACCB22))
           (portRef A (instanceRef state_cnst_0_o2_1_2))
          ))
          (net k_pull_reg_write (joined
           (portRef k_pull_reg_write)
           (portRef C (instanceRef ACCB22))
          ))
          (net SS_1_sqmuxa (joined
           (portRef Z (instanceRef SS_1_sqmuxa_0_a2))
           (portRef A (instanceRef SS_3_sqmuxa))
           (portRef B (instanceRef SS_2_sqmuxa_1_1))
          ))
          (net SU_0_sqmuxa_1 (joined
           (portRef Z (instanceRef SU_0_sqmuxa_1))
           (portRef C1 (instanceRef un1_SS_1_cry_0_0))
           (portRef C (instanceRef SS_3_sqmuxa))
           (portRef D (instanceRef SS_2_sqmuxa_1_1))
          ))
          (net (rename k_pp_regs_7 "k_pp_regs[7]") (joined
           (portRef (member k_pp_regs 0))
           (portRef C (instanceRef un1_k_pp_regs_4_sqmuxa_0_705_a3_0_4))
           (portRef B (instanceRef un75_RNIM9IC2))
           (portRef B (instanceRef un1_k_pp_regs_5_sqmuxa_0_679_1))
           (portRef B (instanceRef state160lto7_4))
           (portRef B (instanceRef un1_k_pp_regs_7_sqmuxa_0_628_a3_0))
           (portRef B (instanceRef un1_k_pp_regs_1_sqmuxa))
           (portRef B (instanceRef un75_RNIM9IC2_0))
          ))
          (net k_pp_regs_6_sqmuxa_1 (joined
           (portRef Z (instanceRef k_pp_regs_6_sqmuxa_1))
           (portRef C (instanceRef un1_k_pp_regs_1_sqmuxa))
          ))
          (net un1_state_42 (joined
           (portRef un1_state_42)
           (portRef B (instanceRef un1_state_1_sqmuxa))
           (portRef B (instanceRef un1_state_74))
           (portRef C (instanceRef un1_k_pp_regs_11_RNIVUM72))
           (portRef D (instanceRef k_pp_regs_3_sqmuxa))
           (portRef B (instanceRef state_1_sqmuxa_8))
           (portRef D (instanceRef k_pp_regs_6_sqmuxa))
           (portRef D (instanceRef k_pp_regs_7_sqmuxa))
           (portRef D (instanceRef un1_k_pp_regs_2_sqmuxa))
           (portRef D (instanceRef un1_k_pp_regs_1_sqmuxa))
           (portRef C (instanceRef state_2_sqmuxa_6_RNI96LT))
          ))
          (net un1_k_pp_regs_1_sqmuxa (joined
           (portRef Z (instanceRef un1_k_pp_regs_1_sqmuxa))
           (portRef un1_k_pp_regs_1_sqmuxa)
          ))
          (net N_31 (joined
           (portRef Z (instanceRef un1_eapostbyte_9_0_o2_4))
           (portRef A (instanceRef un1_eapostbyte_9_0_1_5))
           (portRef A (instanceRef un1_eapostbyte_9_0_1_4))
           (portRef A (instanceRef un1_eapostbyte_9_0_1_7))
           (portRef A (instanceRef un1_eapostbyte_9_1_o2_8))
          ))
          (net N_89 (joined
           (portRef Z (instanceRef un1_eapostbyte_9_1_a4_2_8))
           (portRef B (instanceRef un1_eapostbyte_9_1_o2_8))
          ))
          (net N_2041 (joined
           (portRef Z (instanceRef un1_eapostbyte_9_1_a4_1_8))
           (portRef C (instanceRef un1_eapostbyte_9_1_o2_8))
          ))
          (net N_35 (joined
           (portRef Z (instanceRef un1_eapostbyte_9_1_o2_8))
           (portRef C1 (instanceRef eamem_addr_cry_7_0))
           (portRef B1 (instanceRef eamem_addr_cry_9_0))
           (portRef A0 (instanceRef eamem_addr_cry_9_0))
           (portRef B1 (instanceRef eamem_addr_cry_11_0))
           (portRef B0 (instanceRef eamem_addr_cry_11_0))
           (portRef A1 (instanceRef eamem_addr_cry_13_0))
           (portRef B0 (instanceRef eamem_addr_cry_13_0))
           (portRef C0 (instanceRef eamem_addr_s_15_0))
          ))
          (net N_268 (joined
           (portRef Z (instanceRef path_left_data_2_7))
           (portRef B (instanceRef path_left_data_7_7))
           (portRef N_268)
          ))
          (net N_263 (joined
           (portRef Z (instanceRef path_left_data_2_2))
           (portRef B (instanceRef path_left_data_7_2))
           (portRef N_263)
          ))
          (net N_264 (joined
           (portRef Z (instanceRef path_left_data_2_3))
           (portRef N_264)
          ))
          (net N_280 (joined
           (portRef Z (instanceRef path_left_data_3_3))
           (portRef C (instanceRef path_left_data_7_am_3))
           (portRef N_280)
          ))
          (net N_248 (joined
           (portRef Z (instanceRef path_left_data_1_3))
           (portRef B (instanceRef path_left_data_7_am_3))
           (portRef N_248)
          ))
          (net N_265 (joined
           (portRef Z (instanceRef path_left_data_2_4))
           (portRef B (instanceRef path_left_data_bm_4))
           (portRef N_265)
          ))
          (net N_281 (joined
           (portRef Z (instanceRef path_left_data_3_4))
           (portRef ALUT (instanceRef path_left_data_5_4))
          ))
          (net N_249 (joined
           (portRef Z (instanceRef path_left_data_1_4))
           (portRef BLUT (instanceRef path_left_data_5_4))
          ))
          (net N_266 (joined
           (portRef Z (instanceRef path_left_data_2_5))
           (portRef ALUT (instanceRef path_left_data_7_5))
          ))
          (net N_267 (joined
           (portRef Z (instanceRef path_left_data_2_6))
           (portRef ALUT (instanceRef path_left_data_7_6))
          ))
          (net N_244 (joined
           (portRef Z (instanceRef path_left_data_0_7))
           (portRef N_244)
          ))
          (net (rename ACCB_1 "ACCB[1]") (joined
           (portRef Q (instanceRef ACCB_1))
           (portRef C (instanceRef path_left_data_7_1_1_1))
           (portRef B (instanceRef un1_eapostbyte_9_0_2_1))
           (portRef C (instanceRef path_right_data_0_1))
           (portRef C (instanceRef path_left_data_0_1))
           (portRef ACCB_1)
          ))
          (net N_240 (joined
           (portRef Z (instanceRef path_left_data_0_3))
           (portRef ALUT (instanceRef path_left_data_6_3))
          ))
          (net N_243 (joined
           (portRef Z (instanceRef path_left_data_0_6))
           (portRef N_243)
          ))
          (net N_242 (joined
           (portRef Z (instanceRef path_left_data_0_5))
           (portRef N_242)
          ))
          (net N_759 (joined
           (portRef Z (instanceRef state_cnst_i_a9_1_0))
           (portRef A (instanceRef state_23_iv_RNO_2_0))
          ))
          (net N_767 (joined
           (portRef N_767)
           (portRef B (instanceRef state_23_iv_RNO_2_0))
          ))
          (net (rename state_cnst_m_3_0 "state_cnst_m_3[0]") (joined
           (portRef Z (instanceRef state_23_iv_RNO_3_0))
           (portRef C (instanceRef state_23_iv_RNO_2_0))
          ))
          (net (rename state_cnst_m_3_0_0 "state_cnst_m_3_0[0]") (joined
           (portRef Z (instanceRef state_23_iv_RNO_4_0))
           (portRef D (instanceRef state_23_iv_RNO_2_0))
          ))
          (net N_260 (joined
           (portRef N_260)
           (portRef D (instanceRef state119))
           (portRef C (instanceRef cff_RNO_0))
          ))
          (net state119 (joined
           (portRef Z (instanceRef state119))
           (portRef D (instanceRef state_cnst_0_o2_1_2))
           (portRef state119)
          ))
          (net k_pp_regs_5_sqmuxa_1 (joined
           (portRef Z (instanceRef k_pp_regs_5_sqmuxa_1))
           (portRef B (instanceRef un1_k_pp_regs_2_sqmuxa))
          ))
          (net state160_4 (joined
           (portRef Z (instanceRef state160lto7_4))
           (portRef D (instanceRef state160lto7_4_RNISEVF2))
           (portRef C (instanceRef k_pp_regs_3_sqmuxa))
           (portRef C (instanceRef un1_k_pp_regs_2_sqmuxa))
          ))
          (net un1_k_pp_regs_2_sqmuxa (joined
           (portRef Z (instanceRef un1_k_pp_regs_2_sqmuxa))
           (portRef un1_k_pp_regs_2_sqmuxa)
          ))
          (net next_state14 (joined
           (portRef Z (instanceRef next_state14_0))
           (portRef B (instanceRef state_23_iv_RNO_1))
           (portRef next_state14)
          ))
          (net datamux_o_alu_in_left_path_addr23 (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_addr24_3_RNIOQR02))
           (portRef A (instanceRef datamux_o_alu_in_left_path_addr_1_d_2))
           (portRef A (instanceRef datamux_o_dest_reg_addr_0_2))
          ))
          (net un1_datamux_o_alu_in_left_path_addr30_1 (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_addr_cnst_0_a2_rn_RNID94C2_3))
           (portRef D (instanceRef datamux_o_dest_reg_addr_0_1))
           (portRef D (instanceRef datamux_o_alu_in_left_path_addr_1_d_RNI7FPN3_2))
           (portRef D (instanceRef datamux_o_alu_in_left_path_addr_1_0))
           (portRef C0 (instanceRef datamux_o_alu_in_left_path_addr_1_0_1))
           (portRef C (instanceRef datamux_o_dest_reg_addr_0_0))
           (portRef C (instanceRef datamux_o_dest_reg_addr_0_2))
          ))
          (net (rename datamux_o_alu_in_left_path_addr_cnst_0 "datamux_o_alu_in_left_path_addr_cnst[0]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_addr_cnst_0_a2_0))
           (portRef A (instanceRef datamux_o_dest_reg_addr_0_0))
          ))
          (net (rename dec_o_dest_reg_addr_0 "dec_o_dest_reg_addr[0]") (joined
           (portRef (member dec_o_dest_reg_addr 3))
           (portRef A (instanceRef state120_0))
           (portRef B (instanceRef datamux_o_dest_reg_addr_0_0))
           (portRef A (instanceRef state119))
          ))
          (net N_794 (joined
           (portRef N_794)
           (portRef A (instanceRef state_cnst_0_5_3))
          ))
          (net N_798 (joined
           (portRef Z (instanceRef state_cnst_0_a9_3_3))
           (portRef B (instanceRef state_cnst_0_5_3))
          ))
          (net (rename state_cnst_0_1_3 "state_cnst_0_1[3]") (joined
           (portRef Z (instanceRef state_cnst_0_1_3))
           (portRef C (instanceRef state_cnst_0_5_3))
          ))
          (net N_736 (joined
           (portRef N_736)
           (portRef A (instanceRef state_cnst_0_7_1))
          ))
          (net N_824 (joined
           (portRef N_824)
           (portRef B (instanceRef state_cnst_0_7_1))
          ))
          (net N_826 (joined
           (portRef N_826)
           (portRef C (instanceRef state_cnst_0_7_1))
           (portRef A (instanceRef state_23_iv_RNO_1_0))
          ))
          (net (rename state_cnst_0_6_1 "state_cnst_0_6[1]") (joined
           (portRef Z (instanceRef state_cnst_0_6_1))
           (portRef D (instanceRef state_cnst_0_7_1))
          ))
          (net (rename k_ind_ea_0 "k_ind_ea[0]") (joined
           (portRef (member k_ind_ea 7))
           (portRef A (instanceRef un7_0_f1_0_1))
           (portRef A (instanceRef un1_eapostbyte_9_1_a4_1_8))
           (portRef A (instanceRef un1_eapostbyte_9_sn_m13_0_0_0))
           (portRef A (instanceRef ea_reg_post15_0))
           (portRef A (instanceRef ea_reg_post17_0))
           (portRef A (instanceRef ea_reg_post18_0))
           (portRef A (instanceRef un1_eapostbyte_9_0_a4_4_1))
           (portRef A (instanceRef un1_eapostbyte_9_1_a4_8))
           (portRef A (instanceRef un1_eapostbyte_9_0_o4_1))
           (portRef C (instanceRef un1_dec_o_ea_ofs8_1))
           (portRef A (instanceRef un1_ea_reg_post18_5_1))
           (portRef A (instanceRef un1_eapostbyte_9_6_am_6))
           (portRef A (instanceRef un1_eapostbyte_9_6_bm_0))
           (portRef A (instanceRef un1_eapostbyte_9_6_am_0))
           (portRef A (instanceRef un1_eapostbyte_9_6_am_2))
           (portRef C (instanceRef state_23_iv_RNO_5_0))
          ))
          (net N_581 (joined
           (portRef Z (instanceRef un1_eapostbyte_9_3_2))
           (portRef C (instanceRef un1_eapostbyte_9_6_am_2))
          ))
          (net k_write_post_incdec_6_0 (joined
           (portRef Z (instanceRef k_write_post_incdec_6_0))
           (portRef D (instanceRef un1_eapostbyte_9_6_am_6))
           (portRef D (instanceRef un1_eapostbyte_9_6_am_0))
           (portRef D (instanceRef un1_eapostbyte_9_6_am_2))
          ))
          (net (rename un1_eapostbyte_9_6_am_2 "un1_eapostbyte_9_6_am[2]") (joined
           (portRef Z (instanceRef un1_eapostbyte_9_6_am_2))
           (portRef BLUT (instanceRef un1_eapostbyte_9_6_2))
          ))
          (net (rename k_ofslo_2 "k_ofslo[2]") (joined
           (portRef (member k_ofslo 5))
           (portRef C (instanceRef un1_eapostbyte_9_6_bm_2))
          ))
          (net (rename un1_eapostbyte_9_6_bm_2 "un1_eapostbyte_9_6_bm[2]") (joined
           (portRef Z (instanceRef un1_eapostbyte_9_6_bm_2))
           (portRef ALUT (instanceRef un1_eapostbyte_9_6_2))
          ))
          (net N_629 (joined
           (portRef Z (instanceRef un1_eapostbyte_9_sn_m8_0_o2_0_o2))
           (portRef C (instanceRef un1_ea_reg_post18_5))
           (portRef C (instanceRef un1_eapostbyte_9_0_a4_2_1))
           (portRef C (instanceRef un1_eapostbyte_9_1_a4_0_8))
           (portRef D (instanceRef un1_eapostbyte_9_0_a2_1))
           (portRef D (instanceRef un1_eapostbyte_9_0_a2_0_3))
           (portRef C0 (instanceRef un1_eapostbyte_9_6_6))
           (portRef C0 (instanceRef un1_eapostbyte_9_6_0))
           (portRef C0 (instanceRef un1_eapostbyte_9_6_2))
          ))
          (net N_632 (joined
           (portRef Z (instanceRef un1_eapostbyte_9_6_2))
           (portRef A1 (instanceRef eamem_addr_cry_1_0))
          ))
          (net N_579 (joined
           (portRef Z (instanceRef un1_eapostbyte_9_3_0))
           (portRef C (instanceRef un1_eapostbyte_9_6_am_0))
          ))
          (net (rename un1_eapostbyte_9_6_am_0 "un1_eapostbyte_9_6_am[0]") (joined
           (portRef Z (instanceRef un1_eapostbyte_9_6_am_0))
           (portRef BLUT (instanceRef un1_eapostbyte_9_6_0))
          ))
          (net (rename k_ofslo_0 "k_ofslo[0]") (joined
           (portRef (member k_ofslo 7))
           (portRef C (instanceRef un1_eapostbyte_9_6_bm_0))
          ))
          (net (rename un1_eapostbyte_9_6_bm_0 "un1_eapostbyte_9_6_bm[0]") (joined
           (portRef Z (instanceRef un1_eapostbyte_9_6_bm_0))
           (portRef ALUT (instanceRef un1_eapostbyte_9_6_0))
          ))
          (net N_585 (joined
           (portRef Z (instanceRef un1_eapostbyte_9_3_6))
           (portRef C (instanceRef un1_eapostbyte_9_6_am_6))
          ))
          (net (rename un1_eapostbyte_9_6_am_6 "un1_eapostbyte_9_6_am[6]") (joined
           (portRef Z (instanceRef un1_eapostbyte_9_6_am_6))
           (portRef BLUT (instanceRef un1_eapostbyte_9_6_6))
          ))
          (net (rename k_ofslo_6 "k_ofslo[6]") (joined
           (portRef (member k_ofslo 1))
           (portRef C (instanceRef un1_eapostbyte_9_6_bm_6))
          ))
          (net (rename un1_eapostbyte_9_6_bm_6 "un1_eapostbyte_9_6_bm[6]") (joined
           (portRef Z (instanceRef un1_eapostbyte_9_6_bm_6))
           (portRef ALUT (instanceRef un1_eapostbyte_9_6_6))
          ))
          (net N_636 (joined
           (portRef Z (instanceRef un1_eapostbyte_9_6_6))
           (portRef A1 (instanceRef eamem_addr_cry_5_0))
          ))
          (net (rename sbc8_w_8 "sbc8_w[8]") (joined
           (portRef (member sbc8_w 0))
           (portRef A (instanceRef cff_6_i_m2_0))
          ))
          (net (rename sbc16_w_16 "sbc16_w[16]") (joined
           (portRef (member sbc16_w 0))
           (portRef B (instanceRef cff_6_i_m2_0))
          ))
          (net datamux_o_alu_in_left_path_addr27 (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_addr27))
           (portRef A (instanceRef datamux_o_dest_reg_addr_0_1))
           (portRef A (instanceRef datamux_o_alu_in_left_path_addr_1_0_am_1))
          ))
          (net (rename datamux_o_alu_in_left_path_addr_cnst_i_a2_0_1 "datamux_o_alu_in_left_path_addr_cnst_i_a2_0[1]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_addr_cnst_i_a2_0_1))
           (portRef C (instanceRef datamux_o_dest_reg_addr_0_1))
           (portRef B (instanceRef datamux_o_alu_in_left_path_addr_1_0_am_1))
          ))
          (net (rename datamux_o_alu_in_left_path_addr_1_0_am_1 "datamux_o_alu_in_left_path_addr_1_0_am[1]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_addr_1_0_am_1))
           (portRef BLUT (instanceRef datamux_o_alu_in_left_path_addr_1_0_1))
          ))
          (net (rename datamux_o_alu_in_left_path_addr_1_0_bm_1 "datamux_o_alu_in_left_path_addr_1_0_bm[1]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_addr_1_0_bm_1))
           (portRef ALUT (instanceRef datamux_o_alu_in_left_path_addr_1_0_1))
          ))
          (net (rename datamux_o_alu_in_left_path_addr_1_d_0 "datamux_o_alu_in_left_path_addr_1_d[0]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_addr_1_d_0))
           (portRef A (instanceRef datamux_o_alu_in_left_path_addr_1_0))
          ))
          (net (rename dec_o_left_path_addr_0 "dec_o_left_path_addr[0]") (joined
           (portRef dec_o_left_path_addr_0)
           (portRef C (instanceRef datamux_o_alu_in_left_path_addr_1_0))
          ))
          (net (rename datamux_o_alu_in_left_path_addr_1_d_2 "datamux_o_alu_in_left_path_addr_1_d[2]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_addr_1_d_2))
           (portRef A (instanceRef datamux_o_alu_in_left_path_addr_1_d_RNI7FPN3_2))
          ))
          (net (rename dec_o_left_path_addr_2 "dec_o_left_path_addr[2]") (joined
           (portRef dec_o_left_path_addr_2)
           (portRef C (instanceRef datamux_o_alu_in_left_path_addr_1_d_RNI7FPN3_2))
          ))
          (net (rename dec_o_dest_reg_addr_1 "dec_o_dest_reg_addr[1]") (joined
           (portRef (member dec_o_dest_reg_addr 2))
           (portRef B (instanceRef datamux_o_dest_reg_addr_0_1))
           (portRef B (instanceRef state120_0))
           (portRef B (instanceRef state119))
          ))
          (net (rename k_pp_regs_5 "k_pp_regs[5]") (joined
           (portRef (member k_pp_regs 2))
           (portRef A (instanceRef un1_k_pp_regs_4_sqmuxa_0_705_a3_0_4))
           (portRef C (instanceRef un1_k_pp_regs_6_sqmuxa_0_653_a3_0_1))
           (portRef C (instanceRef un1_k_pp_regs_7_sqmuxa_0_628_a3_0_3))
           (portRef B (instanceRef k_pp_regs_3_sqmuxa))
           (portRef B (instanceRef k_pp_regs_5_sqmuxa_1))
           (portRef B (instanceRef un75))
           (portRef A (instanceRef un1_k_pp_regs_2_sqmuxa))
          ))
          (net un70_c4 (joined
           (portRef un70_c4)
           (portRef C (instanceRef state_cnst_0_6_1))
           (portRef D (instanceRef state_2_sqmuxa_6))
           (portRef B (instanceRef state_cnst_0_a9_3_3))
           (portRef D (instanceRef k_pp_regs_5_sqmuxa_1))
           (portRef A (instanceRef state_cnst_i_a9_1_0))
           (portRef D (instanceRef un1_k_pp_regs_3_sqmuxa))
           (portRef C (instanceRef un75))
           (portRef A (instanceRef state_cnst_0_8_RNO_0_2))
          ))
          (net (rename k_pp_regs_4 "k_pp_regs[4]") (joined
           (portRef (member k_pp_regs 3))
           (portRef B (instanceRef un1_k_pp_regs_4_sqmuxa_0_705_a3_0_4))
           (portRef B (instanceRef un1_k_pp_regs_6_sqmuxa_0_653_a3_0_1))
           (portRef B (instanceRef un1_k_pp_regs_7_sqmuxa_0_628_a3_0_3))
           (portRef A (instanceRef k_pp_regs_3_sqmuxa))
           (portRef A (instanceRef k_pp_regs_5_sqmuxa_1))
           (portRef A (instanceRef un1_k_pp_regs_3_sqmuxa))
           (portRef A (instanceRef un75))
          ))
          (net k_pp_regs_3_sqmuxa (joined
           (portRef Z (instanceRef k_pp_regs_3_sqmuxa))
           (portRef B (instanceRef un1_k_pp_regs_3_sqmuxa))
          ))
          (net un1_state_43 (joined
           (portRef un1_state_43)
           (portRef D (instanceRef un1_k_pp_regs_11_RNIVUM72))
           (portRef C (instanceRef un1_k_pp_regs_7_sqmuxa))
           (portRef D (instanceRef un1_k_pp_regs_6_sqmuxa))
           (portRef C (instanceRef k_pp_regs_5_sqmuxa_1))
           (portRef C (instanceRef un1_k_pp_regs_3_sqmuxa))
           (portRef B (instanceRef k_pp_regs_6_sqmuxa_1))
          ))
          (net un1_k_pp_regs_3_sqmuxa (joined
           (portRef Z (instanceRef un1_k_pp_regs_3_sqmuxa))
           (portRef un1_k_pp_regs_3_sqmuxa)
          ))
          (net N_383 (joined
           (portRef Z (instanceRef path_right_data_0_4))
           (portRef N_383)
          ))
          (net N_381 (joined
           (portRef Z (instanceRef path_right_data_0_2))
           (portRef N_381)
          ))
          (net N_386 (joined
           (portRef Z (instanceRef path_right_data_0_7))
           (portRef N_386)
          ))
          (net N_382 (joined
           (portRef Z (instanceRef path_right_data_0_3))
           (portRef N_382)
          ))
          (net N_836 (joined
           (portRef Z (instanceRef state_2_sqmuxa_6_0))
           (portRef A (instanceRef state_cnst_0_a9_3_3))
          ))
          (net N_1260_1 (joined
           (portRef N_1260_1)
           (portRef A (instanceRef state_2_sqmuxa_6))
          ))
          (net (rename state_cnst_0_4_2 "state_cnst_0_4[2]") (joined
           (portRef Z (instanceRef state_cnst_0_4_2))
           (portRef B (instanceRef state_cnst_0_5_2))
          ))
          (net state182 (joined
           (portRef state182)
           (portRef C (instanceRef state_cnst_0_5_2))
           (portRef C (instanceRef state_2_sqmuxa_6))
          ))
          (net (rename state_cnst_0_5_1 "state_cnst_0_5[1]") (joined
           (portRef Z (instanceRef state_cnst_0_5_1))
           (portRef B (instanceRef state_cnst_0_6_1))
          ))
          (net (rename ea_reg_13 "ea_reg[13]") (joined
           (portRef Z (instanceRef ea_reg_3_13))
           (portRef A0 (instanceRef un1_ea_reg_cry_13_0))
           (portRef A (instanceRef un1_PC_1_13))
          ))
          (net eamem_addr (joined
           (portRef Z (instanceRef un1_ea_reg_post18_5))
           (portRef B0 (instanceRef eamem_addr_cry_1_0))
           (portRef B1 (instanceRef eamem_addr_cry_3_0))
           (portRef B0 (instanceRef eamem_addr_cry_3_0))
           (portRef B0 (instanceRef eamem_addr_cry_5_0))
           (portRef B1 (instanceRef eamem_addr_cry_7_0))
           (portRef B0 (instanceRef eamem_addr_cry_7_0))
           (portRef C0 (instanceRef eamem_addr_cry_9_0))
           (portRef C1 (instanceRef eamem_addr_cry_13_0))
           (portRef B (instanceRef un1_PC_1_1_12))
           (portRef B (instanceRef un1_PC_1_11))
           (portRef B (instanceRef un1_PC_1_10))
           (portRef B (instanceRef un1_PC_1_15))
           (portRef B (instanceRef un1_PC_1_13))
          ))
          (net (rename un1_PC_1_13 "un1_PC_1[13]") (joined
           (portRef Z (instanceRef un1_PC_1_13))
           (portRef A0 (instanceRef eamem_addr_cry_13_0))
          ))
          (net (rename ea_reg_15 "ea_reg[15]") (joined
           (portRef Z (instanceRef ea_reg_3_15))
           (portRef A0 (instanceRef un1_ea_reg_s_15_0))
           (portRef A (instanceRef un1_PC_1_15))
          ))
          (net (rename un1_PC_1_15 "un1_PC_1[15]") (joined
           (portRef Z (instanceRef un1_PC_1_15))
           (portRef A0 (instanceRef eamem_addr_s_15_0))
          ))
          (net (rename ea_reg_10 "ea_reg[10]") (joined
           (portRef Z (instanceRef ea_reg_3_10))
           (portRef A1 (instanceRef un1_ea_reg_cry_9_0))
           (portRef A (instanceRef un1_PC_1_10))
          ))
          (net (rename un1_PC_1_10 "un1_PC_1[10]") (joined
           (portRef Z (instanceRef un1_PC_1_10))
           (portRef A1 (instanceRef eamem_addr_cry_9_0))
          ))
          (net (rename ea_reg_11 "ea_reg[11]") (joined
           (portRef Z (instanceRef ea_reg_3_11))
           (portRef A0 (instanceRef un1_ea_reg_cry_11_0))
           (portRef A (instanceRef un1_PC_1_11))
          ))
          (net (rename un1_PC_1_11 "un1_PC_1[11]") (joined
           (portRef Z (instanceRef un1_PC_1_11))
           (portRef A0 (instanceRef eamem_addr_cry_11_0))
          ))
          (net N_148 (joined
           (portRef Z (instanceRef ea_reg_3_i_m3_12))
           (portRef A1 (instanceRef un1_ea_reg_cry_11_0))
           (portRef A (instanceRef un1_PC_1_1_12))
          ))
          (net (rename un1_PC_1_1_12 "un1_PC_1_1[12]") (joined
           (portRef Z (instanceRef un1_PC_1_1_12))
           (portRef A1 (instanceRef eamem_addr_cry_11_0))
          ))
          (net (rename k_pp_regs_cnv_5_0 "k_pp_regs_cnv_5[0]") (joined
           (portRef Z (instanceRef un1_state_23_1_RNI88JC4))
           (portRef A (instanceRef state_1_sqmuxa_8_RNIAT1N5))
          ))
          (net un1_k_opcode_3 (joined
           (portRef un1_k_opcode_3)
           (portRef C (instanceRef state_1_sqmuxa_8_RNIAT1N5))
          ))
          (net (rename k_pp_regs_cnv_7_0 "k_pp_regs_cnv_7[0]") (joined
           (portRef Z (instanceRef state_1_sqmuxa_8_RNIAT1N5))
           (portRef (member k_pp_regs_cnv_7 0))
          ))
          (net un1_state_57_0 (joined
           (portRef un1_state_57_0)
           (portRef B (instanceRef un1_k_cpu_addr_2_sqmuxa))
          ))
          (net un1_state_76 (joined
           (portRef un1_state_76)
           (portRef D (instanceRef un1_k_cpu_addr_2_sqmuxa))
          ))
          (net N_2004 (joined
           (portRef Z (instanceRef un1_eapostbyte_9_1_o4_0_8))
           (portRef A (instanceRef un1_eapostbyte_9_1_a4_2_8))
          ))
          (net N_2049 (joined
           (portRef Z (instanceRef un1_eapostbyte_9_0_a4_2_1))
           (portRef B (instanceRef un1_eapostbyte_9_0_1_5))
           (portRef B (instanceRef un1_eapostbyte_9_0_1_4))
           (portRef B (instanceRef un1_eapostbyte_9_0_1_7))
          ))
          (net (rename un1_eapostbyte_9_0_1_7 "un1_eapostbyte_9_0_1[7]") (joined
           (portRef Z (instanceRef un1_eapostbyte_9_0_1_7))
           (portRef D0 (instanceRef eamem_addr_cry_7_0))
          ))
          (net (rename un1_eapostbyte_9_0_1_4 "un1_eapostbyte_9_0_1[4]") (joined
           (portRef Z (instanceRef un1_eapostbyte_9_0_1_4))
           (portRef D1 (instanceRef eamem_addr_cry_3_0))
          ))
          (net (rename un1_eapostbyte_9_0_1_5 "un1_eapostbyte_9_0_1[5]") (joined
           (portRef Z (instanceRef un1_eapostbyte_9_0_1_5))
           (portRef D0 (instanceRef eamem_addr_cry_5_0))
          ))
          (net N_2046 (joined
           (portRef Z (instanceRef un1_eapostbyte_9_1_a4_8))
           (portRef A (instanceRef un1_eapostbyte_9_1_0_9))
           (portRef A (instanceRef un1_eapostbyte_9_1_0_14))
           (portRef A (instanceRef un1_eapostbyte_9_1_0_10))
           (portRef A (instanceRef un1_eapostbyte_9_1_0_8))
           (portRef A (instanceRef un1_eapostbyte_9_1_0_13))
           (portRef A (instanceRef un1_eapostbyte_9_1_0_12))
           (portRef A (instanceRef un1_eapostbyte_9_1_0_11))
           (portRef A (instanceRef un1_eapostbyte_9_1_0_15))
          ))
          (net N_2048 (joined
           (portRef Z (instanceRef un1_eapostbyte_9_1_a4_0_8))
           (portRef B (instanceRef un1_eapostbyte_9_1_0_9))
           (portRef B (instanceRef un1_eapostbyte_9_1_0_14))
           (portRef B (instanceRef un1_eapostbyte_9_1_0_10))
           (portRef B (instanceRef un1_eapostbyte_9_1_0_8))
           (portRef B (instanceRef un1_eapostbyte_9_1_0_13))
           (portRef B (instanceRef un1_eapostbyte_9_1_0_12))
           (portRef B (instanceRef un1_eapostbyte_9_1_0_11))
           (portRef B (instanceRef un1_eapostbyte_9_1_0_15))
          ))
          (net (rename k_ofshi_7 "k_ofshi[7]") (joined
           (portRef (member k_ofshi 0))
           (portRef C (instanceRef un1_eapostbyte_9_1_0_15))
          ))
          (net (rename un1_eapostbyte_9_1_0_15 "un1_eapostbyte_9_1_0[15]") (joined
           (portRef Z (instanceRef un1_eapostbyte_9_1_0_15))
           (portRef B0 (instanceRef eamem_addr_s_15_0))
          ))
          (net (rename k_ofshi_3 "k_ofshi[3]") (joined
           (portRef (member k_ofshi 4))
           (portRef C (instanceRef un1_eapostbyte_9_1_0_11))
          ))
          (net (rename un1_eapostbyte_9_1_0_11 "un1_eapostbyte_9_1_0[11]") (joined
           (portRef Z (instanceRef un1_eapostbyte_9_1_0_11))
           (portRef C0 (instanceRef eamem_addr_cry_11_0))
          ))
          (net (rename k_ofshi_4 "k_ofshi[4]") (joined
           (portRef (member k_ofshi 3))
           (portRef C (instanceRef un1_eapostbyte_9_1_0_12))
          ))
          (net (rename un1_eapostbyte_9_1_0_12 "un1_eapostbyte_9_1_0[12]") (joined
           (portRef Z (instanceRef un1_eapostbyte_9_1_0_12))
           (portRef C1 (instanceRef eamem_addr_cry_11_0))
          ))
          (net (rename k_ofshi_5 "k_ofshi[5]") (joined
           (portRef (member k_ofshi 2))
           (portRef C (instanceRef un1_eapostbyte_9_1_0_13))
          ))
          (net (rename un1_eapostbyte_9_1_0_13 "un1_eapostbyte_9_1_0[13]") (joined
           (portRef Z (instanceRef un1_eapostbyte_9_1_0_13))
           (portRef C0 (instanceRef eamem_addr_cry_13_0))
          ))
          (net (rename k_ofshi_0 "k_ofshi[0]") (joined
           (portRef (member k_ofshi 7))
           (portRef C (instanceRef un1_eapostbyte_9_1_0_8))
          ))
          (net (rename un1_eapostbyte_9_1_0_8 "un1_eapostbyte_9_1_0[8]") (joined
           (portRef Z (instanceRef un1_eapostbyte_9_1_0_8))
           (portRef D1 (instanceRef eamem_addr_cry_7_0))
          ))
          (net (rename k_ofshi_2 "k_ofshi[2]") (joined
           (portRef (member k_ofshi 5))
           (portRef C (instanceRef un1_eapostbyte_9_1_0_10))
          ))
          (net (rename un1_eapostbyte_9_1_0_10 "un1_eapostbyte_9_1_0[10]") (joined
           (portRef Z (instanceRef un1_eapostbyte_9_1_0_10))
           (portRef C1 (instanceRef eamem_addr_cry_9_0))
          ))
          (net (rename k_ofshi_6 "k_ofshi[6]") (joined
           (portRef (member k_ofshi 1))
           (portRef C (instanceRef un1_eapostbyte_9_1_0_14))
          ))
          (net (rename un1_eapostbyte_9_1_0_14 "un1_eapostbyte_9_1_0[14]") (joined
           (portRef Z (instanceRef un1_eapostbyte_9_1_0_14))
           (portRef B1 (instanceRef eamem_addr_cry_13_0))
          ))
          (net (rename k_ofshi_1 "k_ofshi[1]") (joined
           (portRef (member k_ofshi 6))
           (portRef C (instanceRef un1_eapostbyte_9_1_0_9))
          ))
          (net (rename un1_eapostbyte_9_1_0_9 "un1_eapostbyte_9_1_0[9]") (joined
           (portRef Z (instanceRef un1_eapostbyte_9_1_0_9))
           (portRef B0 (instanceRef eamem_addr_cry_9_0))
          ))
          (net (rename k_ealo_cnv_0_0 "k_ealo_cnv_0[0]") (joined
           (portRef (member k_ealo_cnv_0 0))
           (portRef A (instanceRef un1_state_74_RNIF6082))
          ))
          (net un1_dec_o_ea_ofs8_1 (joined
           (portRef Z (instanceRef un1_dec_o_ea_ofs8_1))
           (portRef B (instanceRef un1_state_74_RNIF6082))
           (portRef B (instanceRef un1_dec_o_ea_ofs8_1_RNIC5IP1))
           (portRef un1_dec_o_ea_ofs8_1)
          ))
          (net un1_state_74 (joined
           (portRef Z (instanceRef un1_state_74))
           (portRef D (instanceRef un1_state_74_RNIF6082))
          ))
          (net (rename ea_reg_14 "ea_reg[14]") (joined
           (portRef Z (instanceRef ea_reg_3_14))
           (portRef A1 (instanceRef un1_ea_reg_cry_13_0))
           (portRef A (instanceRef un1_PC_1_0_i_m3_14))
          ))
          (net N_145 (joined
           (portRef Z (instanceRef un1_PC_1_0_i_m3_14))
           (portRef D1 (instanceRef eamem_addr_cry_13_0))
          ))
          (net (rename ea_reg_9 "ea_reg[9]") (joined
           (portRef Z (instanceRef ea_reg_3_9))
           (portRef A0 (instanceRef un1_ea_reg_cry_9_0))
           (portRef A (instanceRef un1_PC_1_0_i_m3_9))
          ))
          (net N_1996 (joined
           (portRef Z (instanceRef un1_PC_1_0_i_m3_9))
           (portRef D0 (instanceRef eamem_addr_cry_9_0))
          ))
          (net (rename ea_reg_8 "ea_reg[8]") (joined
           (portRef Z (instanceRef ea_reg_3_8))
           (portRef A1 (instanceRef un1_ea_reg_cry_7_0))
           (portRef A (instanceRef un1_PC_1_0_8))
          ))
          (net N_520 (joined
           (portRef Z (instanceRef un1_PC_1_0_8))
           (portRef A1 (instanceRef eamem_addr_cry_7_0))
          ))
          (net (rename ea_reg_7 "ea_reg[7]") (joined
           (portRef Z (instanceRef ea_reg_3_7))
           (portRef A0 (instanceRef un1_ea_reg_cry_7_0))
           (portRef A (instanceRef un1_PC_1_0_7))
          ))
          (net N_519 (joined
           (portRef Z (instanceRef un1_PC_1_0_7))
           (portRef A0 (instanceRef eamem_addr_cry_7_0))
          ))
          (net (rename ea_reg_6 "ea_reg[6]") (joined
           (portRef Z (instanceRef ea_reg_3_6))
           (portRef A1 (instanceRef un1_ea_reg_cry_5_0))
           (portRef A (instanceRef un1_PC_1_0_6))
          ))
          (net N_518 (joined
           (portRef Z (instanceRef un1_PC_1_0_6))
           (portRef C1 (instanceRef eamem_addr_cry_5_0))
          ))
          (net (rename ea_reg_5 "ea_reg[5]") (joined
           (portRef Z (instanceRef ea_reg_3_5))
           (portRef A0 (instanceRef un1_ea_reg_cry_5_0))
           (portRef A (instanceRef un1_PC_1_0_5))
          ))
          (net N_517 (joined
           (portRef Z (instanceRef un1_PC_1_0_5))
           (portRef A0 (instanceRef eamem_addr_cry_5_0))
          ))
          (net (rename ea_reg_4 "ea_reg[4]") (joined
           (portRef Z (instanceRef ea_reg_3_4))
           (portRef A1 (instanceRef un1_ea_reg_cry_3_0))
           (portRef A (instanceRef un1_PC_1_0_4))
          ))
          (net N_516 (joined
           (portRef Z (instanceRef un1_PC_1_0_4))
           (portRef A1 (instanceRef eamem_addr_cry_3_0))
          ))
          (net (rename ea_reg_3 "ea_reg[3]") (joined
           (portRef Z (instanceRef ea_reg_3_3))
           (portRef A0 (instanceRef un1_ea_reg_cry_3_0))
           (portRef A (instanceRef un1_PC_1_0_3))
          ))
          (net N_515 (joined
           (portRef Z (instanceRef un1_PC_1_0_3))
           (portRef A0 (instanceRef eamem_addr_cry_3_0))
          ))
          (net (rename ea_reg_2 "ea_reg[2]") (joined
           (portRef Z (instanceRef ea_reg_3_2))
           (portRef A1 (instanceRef un1_ea_reg_cry_1_0))
           (portRef A (instanceRef un1_PC_1_0_2))
          ))
          (net N_514 (joined
           (portRef Z (instanceRef un1_PC_1_0_2))
           (portRef C1 (instanceRef eamem_addr_cry_1_0))
          ))
          (net (rename ea_reg_1 "ea_reg[1]") (joined
           (portRef Z (instanceRef ea_reg_3_1))
           (portRef A0 (instanceRef un1_ea_reg_cry_1_0))
           (portRef A (instanceRef un1_PC_1_0_1))
          ))
          (net N_513 (joined
           (portRef Z (instanceRef un1_PC_1_0_1))
           (portRef A0 (instanceRef eamem_addr_cry_1_0))
          ))
          (net k_pp_regs_6_sqmuxa (joined
           (portRef Z (instanceRef k_pp_regs_6_sqmuxa))
           (portRef C (instanceRef un1_k_pp_regs_6_sqmuxa))
          ))
          (net un1_k_pp_regs_6_sqmuxa (joined
           (portRef Z (instanceRef un1_k_pp_regs_6_sqmuxa))
           (portRef un1_k_pp_regs_6_sqmuxa)
          ))
          (net (rename k_pp_regs_0 "k_pp_regs[0]") (joined
           (portRef (member k_pp_regs 7))
           (portRef B (instanceRef un1_k_pp_regs_7_sqmuxa_0_628_fast))
           (portRef C (instanceRef state_cnst_0_a9_5_0_RNIV5B83_0_2))
           (portRef A (instanceRef state160lto7_1))
           (portRef B (instanceRef un1_k_pp_regs_7_sqmuxa_0_628))
           (portRef C (instanceRef state_cnst_0_a9_5_0_RNIV5B83_2))
           (portRef A (instanceRef un1_k_pp_regs_7_sqmuxa))
           (portRef A (instanceRef un1_k_pp_regs_6_sqmuxa))
          ))
          (net k_pp_regs_7_sqmuxa (joined
           (portRef Z (instanceRef k_pp_regs_7_sqmuxa))
           (portRef B (instanceRef un1_k_pp_regs_7_sqmuxa))
          ))
          (net N_30 (joined
           (portRef Z (instanceRef un1_eapostbyte_9_0_o4_1))
           (portRef A (instanceRef un1_eapostbyte_9_0_a4_2_1))
           (portRef A (instanceRef un1_eapostbyte_9_0_a2_1))
           (portRef A (instanceRef un1_eapostbyte_9_0_a2_0_3))
           (portRef A (instanceRef un1_eapostbyte_9_1_o4_0_8))
          ))
          (net N_53 (joined
           (portRef Z (instanceRef un1_eapostbyte_9_0_a2_0_3))
           (portRef C0 (instanceRef eamem_addr_cry_3_0))
          ))
          (net N_2009 (joined
           (portRef Z (instanceRef un1_eapostbyte_9_0_a2_1))
           (portRef C0 (instanceRef eamem_addr_cry_1_0))
          ))
          (net (rename alu_o_CCR_2 "alu_o_CCR[3]") (joined
           (portRef alu_o_CCR_2)
           (portRef A (instanceRef nff_6))
          ))
          (net (rename datamux_o_destf_2 "datamux_o_destf[3]") (joined
           (portRef Q (instanceRef nff_pipe))
           (portRef B (instanceRef nff_6))
           (portRef datamux_o_destf_2)
          ))
          (net (rename regs_o_CCR_3 "regs_o_CCR[3]") (joined
           (portRef Z (instanceRef nff_6))
           (portRef regs_o_CCR_3)
          ))
          (net (rename alu_o_CCR_1 "alu_o_CCR[2]") (joined
           (portRef alu_o_CCR_1)
           (portRef A (instanceRef zff_6))
          ))
          (net (rename datamux_o_destf_1 "datamux_o_destf[2]") (joined
           (portRef Q (instanceRef zff_pipe))
           (portRef B (instanceRef zff_6))
           (portRef datamux_o_destf_1)
          ))
          (net (rename regs_o_CCR_2 "regs_o_CCR[2]") (joined
           (portRef Z (instanceRef zff_6))
           (portRef regs_o_CCR_2)
          ))
          (net un1_state_82_3 (joined
           (portRef Z (instanceRef un1_state_82_3))
           (portRef A (instanceRef un1_state_82))
          ))
          (net un1_state_19 (joined
           (portRef un1_state_19)
           (portRef B (instanceRef un1_state_82))
          ))
          (net un1_state_21 (joined
           (portRef un1_state_21)
           (portRef C (instanceRef un1_state_82))
          ))
          (net N_2043 (joined
           (portRef Z (instanceRef un1_eapostbyte_9_0_a4_1))
           (portRef A (instanceRef un1_eapostbyte_9_0_0_5))
           (portRef A (instanceRef un1_eapostbyte_9_0_0_4))
           (portRef A (instanceRef un1_eapostbyte_9_0_0_7))
          ))
          (net (rename un1_eapostbyte_9_0_0_7 "un1_eapostbyte_9_0_0[7]") (joined
           (portRef Z (instanceRef un1_eapostbyte_9_0_0_7))
           (portRef C0 (instanceRef eamem_addr_cry_7_0))
          ))
          (net (rename k_ofslo_4 "k_ofslo[4]") (joined
           (portRef (member k_ofslo 3))
           (portRef C (instanceRef un1_eapostbyte_9_0_0_4))
          ))
          (net (rename un1_eapostbyte_9_0_0_4 "un1_eapostbyte_9_0_0[4]") (joined
           (portRef Z (instanceRef un1_eapostbyte_9_0_0_4))
           (portRef C1 (instanceRef eamem_addr_cry_3_0))
          ))
          (net (rename k_ofslo_5 "k_ofslo[5]") (joined
           (portRef (member k_ofslo 2))
           (portRef C (instanceRef un1_eapostbyte_9_0_0_5))
          ))
          (net (rename un1_eapostbyte_9_0_0_5 "un1_eapostbyte_9_0_0[5]") (joined
           (portRef Z (instanceRef un1_eapostbyte_9_0_0_5))
           (portRef C0 (instanceRef eamem_addr_cry_5_0))
          ))
          (net (rename un1_eapostbyte_9_0_0_1 "un1_eapostbyte_9_0_0[1]") (joined
           (portRef Z (instanceRef un1_eapostbyte_9_0_0_1))
           (portRef C (instanceRef un1_eapostbyte_9_0_2_1))
          ))
          (net (rename un1_eapostbyte_9_0_2_1 "un1_eapostbyte_9_0_2[1]") (joined
           (portRef Z (instanceRef un1_eapostbyte_9_0_2_1))
           (portRef D0 (instanceRef eamem_addr_cry_1_0))
          ))
          (net (rename un1_eapostbyte_9_0_0_3 "un1_eapostbyte_9_0_0[3]") (joined
           (portRef Z (instanceRef un1_eapostbyte_9_0_0_3))
           (portRef C (instanceRef un1_eapostbyte_9_0_2_3))
          ))
          (net (rename un1_eapostbyte_9_0_2_3 "un1_eapostbyte_9_0_2[3]") (joined
           (portRef Z (instanceRef un1_eapostbyte_9_0_2_3))
           (portRef D0 (instanceRef eamem_addr_cry_3_0))
          ))
          (net N_785 (joined
           (portRef Z (instanceRef state_cnst_0_a9_2_2))
           (portRef A (instanceRef state_cnst_0_4_2))
          ))
          (net N_787 (joined
           (portRef N_787)
           (portRef B (instanceRef state_cnst_0_4_2))
          ))
          (net N_791 (joined
           (portRef N_791)
           (portRef C (instanceRef state_cnst_0_4_2))
          ))
          (net (rename state_cnst_0_2_2 "state_cnst_0_2[2]") (joined
           (portRef Z (instanceRef state_cnst_0_2_2))
           (portRef D (instanceRef state_cnst_0_4_2))
          ))
          (net N_804 (joined
           (portRef N_804)
           (portRef A (instanceRef state_cnst_i_3_4))
          ))
          (net (rename state_cnst_i_0_4 "state_cnst_i_0[4]") (joined
           (portRef Z (instanceRef state_cnst_i_0_4))
           (portRef B (instanceRef state_cnst_i_3_4))
          ))
          (net (rename state_cnst_i_1_4 "state_cnst_i_1[4]") (joined
           (portRef Z (instanceRef state_cnst_i_1_4))
           (portRef C (instanceRef state_cnst_i_3_4))
          ))
          (net N_771 (joined
           (portRef N_771)
           (portRef B (instanceRef state_cnst_0_5_1))
          ))
          (net (rename state_cnst_0_0_1 "state_cnst_0_0[1]") (joined
           (portRef Z (instanceRef state_cnst_0_0_1))
           (portRef C (instanceRef state_cnst_0_5_1))
          ))
          (net (rename state_cnst_0_3_1 "state_cnst_0_3[1]") (joined
           (portRef Z (instanceRef state_cnst_0_3_1))
           (portRef D (instanceRef state_cnst_0_5_1))
          ))
          (net N_1237 (joined
           (portRef Z (instanceRef un1_state_98_i_a4))
           (portRef A (instanceRef un1_state_98_i_a4_RNIBST64))
          ))
          (net state_2_sqmuxa_5 (joined
           (portRef state_2_sqmuxa_5)
           (portRef B (instanceRef un1_state_98_i_a4_RNIBST64))
          ))
          (net (rename state_cnv_1_0 "state_cnv_1[0]") (joined
           (portRef Z (instanceRef un1_state_24_1_RNIF9CB2))
           (portRef C (instanceRef un1_state_98_i_a4_RNIBST64))
          ))
          (net (rename state_cnv_3_0 "state_cnv_3[0]") (joined
           (portRef Z (instanceRef un1_state_98_i_a4_RNIBST64))
           (portRef (member state_cnv_3 0))
          ))
          (net (rename next_mem_state_4 "next_mem_state[4]") (joined
           (portRef next_mem_state_4)
           (portRef A (instanceRef state_23_0_iv_0_4))
          ))
          (net (rename next_state_4 "next_state[4]") (joined
           (portRef next_state_4)
           (portRef B (instanceRef state_23_0_iv_0_4))
          ))
          (net un1_state_71 (joined
           (portRef Z (instanceRef un1_state_71))
           (portRef C (instanceRef state_23_iv_1_1_0))
           (portRef C (instanceRef state_23_iv_0_3))
           (portRef C (instanceRef state_23_0_iv_0_4))
          ))
          (net un1_state_72 (joined
           (portRef un1_state_72)
           (portRef D (instanceRef state_23_iv_1_1_0))
           (portRef D (instanceRef state_23_iv_0_3))
           (portRef D (instanceRef state_23_0_iv_0_4))
           (portRef D (instanceRef state_23_iv_0_1))
          ))
          (net (rename state_23_0_iv_0_4 "state_23_0_iv_0[4]") (joined
           (portRef Z (instanceRef state_23_0_iv_0_4))
           (portRef A (instanceRef state_23_0_iv_i_4))
          ))
          (net (rename next_mem_state_3 "next_mem_state[3]") (joined
           (portRef next_mem_state_3)
           (portRef A (instanceRef state_23_iv_0_3))
          ))
          (net (rename next_state_3 "next_state[3]") (joined
           (portRef next_state_3)
           (portRef B (instanceRef state_23_iv_0_3))
          ))
          (net (rename ea_reg_post18_0 "ea_reg_post18[0]") (joined
           (portRef Z (instanceRef ea_reg_post18_0))
           (portRef C1 (instanceRef un1_ea_reg_cry_1_0))
           (portRef C1 (instanceRef un1_ea_reg_cry_3_0))
           (portRef C0 (instanceRef un1_ea_reg_cry_3_0))
           (portRef C1 (instanceRef un1_ea_reg_cry_5_0))
           (portRef C0 (instanceRef un1_ea_reg_cry_5_0))
           (portRef C1 (instanceRef un1_ea_reg_cry_7_0))
           (portRef C0 (instanceRef un1_ea_reg_cry_7_0))
           (portRef C1 (instanceRef un1_ea_reg_cry_9_0))
           (portRef C0 (instanceRef un1_ea_reg_cry_9_0))
           (portRef C1 (instanceRef un1_ea_reg_cry_11_0))
           (portRef C0 (instanceRef un1_ea_reg_cry_11_0))
           (portRef C1 (instanceRef un1_ea_reg_cry_13_0))
           (portRef C0 (instanceRef un1_ea_reg_cry_13_0))
           (portRef B0 (instanceRef un1_ea_reg_s_15_0))
           (portRef D (instanceRef un1_eapostbyte_9_0_o2_4))
           (portRef D (instanceRef un1_eapostbyte_9_3_6))
           (portRef D (instanceRef un1_eapostbyte_9_3_2))
          ))
          (net N_1255 (joined
           (portRef N_1255)
           (portRef B (instanceRef un1_state_23_1_RNI88JC4))
          ))
          (net (rename k_pp_regs_cnv_4_0 "k_pp_regs_cnv_4[0]") (joined
           (portRef (member k_pp_regs_cnv_4 0))
           (portRef D (instanceRef un1_state_23_1_RNI88JC4))
          ))
          (net N_116_i_0 (joined
           (portRef N_116_i_0)
           (portRef A (instanceRef datamux_o_alu_in_right_path_data_cnst_i_o2_i_a2_1))
           (portRef A (instanceRef datamux_o_alu_in_right_path_data_0_sqmuxa_i_a2))
           (portRef A (instanceRef datamux_o_alu_in_right_path_data_i_a3_3_0))
          ))
          (net N_231_0 (joined
           (portRef Z (instanceRef datamux_o_alu_in_right_path_data_0_sqmuxa_i_a3))
           (portRef B (instanceRef datamux_o_alu_in_right_path_data_0_sqmuxa_i_1_sx))
           (portRef B (instanceRef datamux_o_alu_in_right_path_data_cnst_i_o2_i_a2_1))
           (portRef B (instanceRef datamux_o_alu_in_right_path_data_0_sqmuxa_i_a2))
           (portRef B (instanceRef datamux_o_alu_in_right_path_data_i_a3_3_0))
           (portRef N_231_0)
          ))
          (net N_178 (joined
           (portRef Z (instanceRef datamux_o_alu_in_right_path_data_0_sqmuxa_i_a2))
           (portRef A (instanceRef datamux_o_alu_in_right_path_data_0_sqmuxa_i_1_sx))
          ))
          (net (rename k_opcode_0 "k_opcode[0]") (joined
           (portRef k_opcode_0)
           (portRef A (instanceRef state54_2_0))
           (portRef C (instanceRef datamux_o_alu_in_right_path_data_cnst_i_o2_i_a2_1))
           (portRef C (instanceRef datamux_o_alu_in_right_path_data_i_a3_3_0))
          ))
          (net (rename k_opcode_2 "k_opcode[2]") (joined
           (portRef k_opcode_2)
           (portRef B (instanceRef state54_2_0))
           (portRef D (instanceRef datamux_o_alu_in_right_path_data_cnst_i_o2_i_a2_1))
           (portRef D (instanceRef datamux_o_alu_in_right_path_data_i_a3_3_0))
          ))
          (net N_177 (joined
           (portRef Z (instanceRef datamux_o_alu_in_right_path_data_cnst_i_o2_i_a2_1))
           (portRef N_177)
          ))
          (net (rename k_ind_ea_4 "k_ind_ea[4]") (joined
           (portRef (member k_ind_ea 3))
           (portRef A (instanceRef un1_eapostbyte_9_0_o2_4))
           (portRef A (instanceRef un1_eapostbyte_9_6_bm_6))
          ))
          (net (rename k_pp_regs_1 "k_pp_regs[1]") (joined
           (portRef (member k_pp_regs 6))
           (portRef D (instanceRef state_cnst_0_a9_5_0_RNIV5B83_0_2))
           (portRef A (instanceRef un1_k_pp_regs_11_RNIVUM72))
           (portRef B (instanceRef state160lto7_1))
           (portRef A (instanceRef un1_k_pp_regs_6_sqmuxa_0_653_a3_0_1))
           (portRef A (instanceRef un1_k_pp_regs_7_sqmuxa_0_628_a3_0))
           (portRef D (instanceRef state_cnst_0_a9_5_0_RNIV5B83_2))
           (portRef A (instanceRef k_pp_regs_6_sqmuxa))
           (portRef A (instanceRef k_pp_regs_7_sqmuxa))
           (portRef B (instanceRef un1_k_pp_regs_6_sqmuxa))
          ))
          (net un1_k_pp_regs_11 (joined
           (portRef Z (instanceRef un1_k_pp_regs_11))
           (portRef B (instanceRef un1_k_pp_regs_11_RNIVUM72))
           (portRef C (instanceRef k_pp_regs_6_sqmuxa))
           (portRef C (instanceRef k_pp_regs_7_sqmuxa))
          ))
          (net (rename k_pp_regs_2 "k_pp_regs[2]") (joined
           (portRef (member k_pp_regs 5))
           (portRef A (instanceRef un1_k_pp_regs_7_sqmuxa_RNIFKAN2))
           (portRef A (instanceRef un1_k_pp_regs_5_sqmuxa_0_679_1))
           (portRef A (instanceRef un1_k_pp_regs_7_sqmuxa_0_628_a3_0_1))
           (portRef B (instanceRef state160lto7))
           (portRef A (instanceRef state160lto7_4_RNISEVF2))
           (portRef B (instanceRef k_pp_regs_6_sqmuxa))
           (portRef B (instanceRef k_pp_regs_7_sqmuxa))
          ))
          (net datamux_o_alu_in_left_path_addr25 (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_addr25))
           (portRef C (instanceRef datamux_o_alu_in_left_path_addr_1_d_0))
           (portRef A (instanceRef datamux_o_alu_in_left_path_addr_cnst_0_a2_0))
          ))
          (net datamux_o_alu_in_left_path_addr29_1 (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_addr29_1))
           (portRef B (instanceRef datamux_o_alu_in_left_path_addr_cnst_0_a2_x_0))
           (portRef C (instanceRef datamux_o_alu_in_left_path_addr_cnst_0_a2_0))
          ))
          (net datamux_o_alu_in_left_path_addr30_1 (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_addr30_1))
           (portRef C (instanceRef datamux_o_alu_in_left_path_addr_cnst_0_a2_x_0))
           (portRef D (instanceRef un1_datamux_o_alu_in_left_path_addr30_1_0_a0_RNI7APF1))
           (portRef D (instanceRef datamux_o_alu_in_left_path_addr_cnst_i_a2_0_1))
           (portRef D (instanceRef un1_datamux_o_alu_in_left_path_addr30_1_1))
           (portRef D (instanceRef datamux_o_alu_in_left_path_addr_cnst_0_a2_0))
          ))
          (net un1_datamux_o_alu_in_left_path_addr30_1_0_a0 (joined
           (portRef Z (instanceRef un1_datamux_o_alu_in_left_path_addr30_1_0_a0))
           (portRef A (instanceRef un1_datamux_o_alu_in_left_path_addr30_1_0_a0_RNI7APF1))
           (portRef A (instanceRef un1_datamux_o_alu_in_left_path_addr30_1_1))
          ))
          (net un1_datamux_o_alu_in_left_path_addr30_1_1 (joined
           (portRef Z (instanceRef un1_datamux_o_alu_in_left_path_addr30_1_1))
           (portRef D (instanceRef datamux_o_dest_reg_addr_3))
           (portRef un1_datamux_o_alu_in_left_path_addr30_1_1)
          ))
          (net (rename ea_reg_post16_2_0 "ea_reg_post16_2[0]") (joined
           (portRef Z (instanceRef ea_reg_post15_2_0))
           (portRef D (instanceRef ea_reg_post15_0))
           (portRef D (instanceRef un1_ea_reg_post18_5_1))
          ))
          (net un1_state_20_0 (joined
           (portRef un1_state_20_0)
           (portRef B (instanceRef un1_state_82_3))
          ))
          (net k_clear_e_3 (joined
           (portRef k_clear_e_3)
           (portRef C (instanceRef un1_state_82_3))
          ))
          (net N_779 (joined
           (portRef Z (instanceRef state_cnst_0_a9_9_1))
           (portRef B (instanceRef state_cnst_0_3_1))
          ))
          (net (rename state_cnst_m_2_0 "state_cnst_m_2[0]") (joined
           (portRef Z (instanceRef state_23_iv_RNO_7_0))
           (portRef D (instanceRef state_23_iv_RNO_4_0))
          ))
          (net N_1609 (joined
           (portRef Z (instanceRef DP_RNI9Q151_5))
           (portRef A (instanceRef DP_RNIKUPB2_5))
          ))
          (net N_1608_i (joined
           (portRef Z (instanceRef DP_RNIKUPB2_5))
           (portRef N_1608_i)
          ))
          (net N_1590 (joined
           (portRef Z (instanceRef DP_RNI8P151_4))
           (portRef A (instanceRef DP_RNIISPB2_4))
          ))
          (net N_1589_i (joined
           (portRef Z (instanceRef DP_RNIISPB2_4))
           (portRef N_1589_i)
          ))
          (net N_1571 (joined
           (portRef Z (instanceRef DP_RNI7M051_3))
           (portRef A (instanceRef DP_RNIGOOB2_3))
          ))
          (net N_1570_i (joined
           (portRef Z (instanceRef DP_RNIGOOB2_3))
           (portRef N_1570_i)
          ))
          (net N_1552 (joined
           (portRef Z (instanceRef DP_RNI6L051_2))
           (portRef A (instanceRef DP_RNIEMOB2_2))
          ))
          (net N_1551_i (joined
           (portRef Z (instanceRef DP_RNIEMOB2_2))
           (portRef N_1551_i)
          ))
          (net N_1994 (joined
           (portRef Z (instanceRef DP_RNI4J051_0))
           (portRef A (instanceRef DP_RNIAIOB2_0))
          ))
          (net N_1513_i (joined
           (portRef Z (instanceRef DP_RNIAIOB2_0))
           (portRef N_1513_i)
          ))
          (net (rename alu_o_CCR_4 "alu_o_CCR[5]") (joined
           (portRef alu_o_CCR_4)
           (portRef A (instanceRef hflag_6))
          ))
          (net (rename datamux_o_destf_4 "datamux_o_destf[5]") (joined
           (portRef Q (instanceRef hflag_pipe))
           (portRef B (instanceRef hflag_6))
           (portRef datamux_o_destf_4)
          ))
          (net (rename regs_o_CCR_5 "regs_o_CCR[5]") (joined
           (portRef Z (instanceRef hflag_6))
           (portRef regs_o_CCR_5)
          ))
          (net N_1062 (joined
           (portRef Z (instanceRef k_new_pc_17_2_2))
           (portRef A (instanceRef k_new_pc_17_2))
          ))
          (net N_1064 (joined
           (portRef Z (instanceRef k_new_pc_17_2_4))
           (portRef A (instanceRef k_new_pc_17_4))
          ))
          (net k_new_pc_17_sn_N_6_muxf (joined
           (portRef k_new_pc_17_sn_N_6_muxf)
           (portRef C (instanceRef k_new_pc_17_1))
           (portRef C (instanceRef k_new_pc_17_i_m3_0))
           (portRef C0 (instanceRef k_new_pc_17_13))
           (portRef C0 (instanceRef k_new_pc_17_11))
           (portRef C0 (instanceRef k_new_pc_17_10))
           (portRef C0 (instanceRef k_new_pc_17_9))
           (portRef C (instanceRef k_new_pc_17_6))
           (portRef C (instanceRef k_new_pc_17_5))
           (portRef C (instanceRef k_new_pc_17_4))
           (portRef C (instanceRef k_new_pc_17_2))
          ))
          (net N_1065 (joined
           (portRef Z (instanceRef k_new_pc_17_2_5))
           (portRef A (instanceRef k_new_pc_17_5))
          ))
          (net N_1066 (joined
           (portRef Z (instanceRef k_new_pc_17_2_6))
           (portRef A (instanceRef k_new_pc_17_6))
          ))
          (net (rename k_new_pc_17_am_9 "k_new_pc_17_am[9]") (joined
           (portRef Z (instanceRef k_new_pc_17_am_9))
           (portRef BLUT (instanceRef k_new_pc_17_9))
          ))
          (net N_1051 (joined
           (portRef Z (instanceRef k_new_pc_17_1_9))
           (portRef A (instanceRef k_new_pc_17_bm_9))
          ))
          (net (rename un1_regs_o_pc_8 "un1_regs_o_pc[9]") (joined
           (portRef un1_regs_o_pc_8)
           (portRef C (instanceRef k_new_pc_17_bm_9))
          ))
          (net (rename k_new_pc_17_bm_9 "k_new_pc_17_bm[9]") (joined
           (portRef Z (instanceRef k_new_pc_17_bm_9))
           (portRef ALUT (instanceRef k_new_pc_17_9))
          ))
          (net (rename dec_o_p1_modef_2 "dec_o_p1_modef[2]") (joined
           (portRef (member dec_o_p1_modef 0))
           (portRef A (instanceRef k_new_pc_17_am_13))
           (portRef A (instanceRef k_new_pc_17_am_11))
           (portRef A (instanceRef k_new_pc_17_am_10))
           (portRef A (instanceRef k_new_pc_17_am_9))
          ))
          (net (rename k_new_pc_17_am_10 "k_new_pc_17_am[10]") (joined
           (portRef Z (instanceRef k_new_pc_17_am_10))
           (portRef BLUT (instanceRef k_new_pc_17_10))
          ))
          (net N_1052 (joined
           (portRef Z (instanceRef k_new_pc_17_1_10))
           (portRef A (instanceRef k_new_pc_17_bm_10))
          ))
          (net (rename dec_o_p1_modef_1 "dec_o_p1_modef[1]") (joined
           (portRef (member dec_o_p1_modef 1))
           (portRef B (instanceRef k_new_pc_17_2_6))
           (portRef B (instanceRef k_new_pc_17_2_5))
           (portRef B (instanceRef k_new_pc_17_2_4))
           (portRef B (instanceRef k_new_pc_17_2_2))
           (portRef B (instanceRef k_new_pc_17_2_1))
           (portRef B (instanceRef k_new_pc_17_i_m3_0_0))
           (portRef B (instanceRef k_new_pc_17_bm_13))
           (portRef B (instanceRef k_new_pc_17_bm_11))
           (portRef B (instanceRef k_new_pc_17_bm_10))
           (portRef B (instanceRef k_new_pc_17_bm_9))
          ))
          (net (rename un1_regs_o_pc_9 "un1_regs_o_pc[10]") (joined
           (portRef un1_regs_o_pc_9)
           (portRef C (instanceRef k_new_pc_17_bm_10))
          ))
          (net (rename k_new_pc_17_bm_10 "k_new_pc_17_bm[10]") (joined
           (portRef Z (instanceRef k_new_pc_17_bm_10))
           (portRef ALUT (instanceRef k_new_pc_17_10))
          ))
          (net (rename k_new_pc_17_am_11 "k_new_pc_17_am[11]") (joined
           (portRef Z (instanceRef k_new_pc_17_am_11))
           (portRef BLUT (instanceRef k_new_pc_17_11))
          ))
          (net N_1053 (joined
           (portRef Z (instanceRef k_new_pc_17_1_11))
           (portRef A (instanceRef k_new_pc_17_bm_11))
          ))
          (net (rename un1_regs_o_pc_10 "un1_regs_o_pc[11]") (joined
           (portRef un1_regs_o_pc_10)
           (portRef C (instanceRef k_new_pc_17_bm_11))
          ))
          (net (rename k_new_pc_17_bm_11 "k_new_pc_17_bm[11]") (joined
           (portRef Z (instanceRef k_new_pc_17_bm_11))
           (portRef ALUT (instanceRef k_new_pc_17_11))
          ))
          (net (rename k_new_pc_17_am_13 "k_new_pc_17_am[13]") (joined
           (portRef Z (instanceRef k_new_pc_17_am_13))
           (portRef BLUT (instanceRef k_new_pc_17_13))
          ))
          (net N_1055 (joined
           (portRef Z (instanceRef k_new_pc_17_1_13))
           (portRef A (instanceRef k_new_pc_17_bm_13))
          ))
          (net (rename un1_regs_o_pc_12 "un1_regs_o_pc[13]") (joined
           (portRef un1_regs_o_pc_12)
           (portRef C (instanceRef k_new_pc_17_bm_13))
          ))
          (net (rename k_new_pc_17_bm_13 "k_new_pc_17_bm[13]") (joined
           (portRef Z (instanceRef k_new_pc_17_bm_13))
           (portRef ALUT (instanceRef k_new_pc_17_13))
          ))
          (net N_152 (joined
           (portRef Z (instanceRef k_new_pc_17_i_m3_0_0))
           (portRef A (instanceRef k_new_pc_17_i_m3_0))
          ))
          (net N_1061 (joined
           (portRef Z (instanceRef k_new_pc_17_2_1))
           (portRef A (instanceRef k_new_pc_17_1))
          ))
          (net N_778_1 (joined
           (portRef Z (instanceRef state_cnst_0_a9_5_0_2))
           (portRef A (instanceRef state_cnst_0_a9_5_0_RNIV5B83_0_2))
           (portRef A (instanceRef state_cnst_0_a9_5_0_RNI822H3_0_2))
           (portRef A (instanceRef state_cnst_0_a9_5_0_RNI822H3_2))
           (portRef A (instanceRef state_cnst_0_a9_5_0_RNIV5B83_2))
           (portRef A (instanceRef state_cnst_0_6_1))
           (portRef N_778_1)
          ))
          (net N_1687 (joined
           (portRef Z (instanceRef state160lto7_4_RNISEVF2))
           (portRef B (instanceRef state_cnst_0_a9_5_0_RNIV5B83_0_2))
           (portRef B (instanceRef state_cnst_0_a9_5_0_RNIV5B83_2))
          ))
          (net N_1670 (joined
           (portRef Z (instanceRef state_cnst_0_a9_5_0_RNIV5B83_2))
           (portRef N_1670)
          ))
          (net un1_k_pp_regs_4_sqmuxa_0_705_a3_0_0 (joined
           (portRef Z (instanceRef un1_k_pp_regs_4_sqmuxa_0_705_a3_0_0_0))
           (portRef D (instanceRef state_cnst_0_a9_5_0_RNI822H3_0_2))
           (portRef D (instanceRef state_cnst_0_a9_5_0_RNI822H3_2))
          ))
          (net N_1706 (joined
           (portRef Z (instanceRef state_cnst_0_a9_5_0_RNI822H3_2))
           (portRef N_1706)
          ))
          (net N_1669 (joined
           (portRef Z (instanceRef un1_k_pp_regs_7_sqmuxa_0_628_a3_0))
           (portRef A (instanceRef un1_k_pp_regs_7_sqmuxa_0_628_fast))
           (portRef A (instanceRef un1_k_pp_regs_7_sqmuxa_0_628))
          ))
          (net N_1652 (joined
           (portRef Z (instanceRef un1_k_pp_regs_7_sqmuxa_0_628))
           (portRef N_1652)
          ))
          (net k_p2_valid8 (joined
           (portRef Z (instanceRef k_p2_valid8_0_a2))
           (portRef k_p2_valid8)
          ))
          (net k_inc_su (joined
           (portRef k_inc_su)
           (portRef B (instanceRef SU_0_sqmuxa))
           (portRef B (instanceRef SU_1_sqmuxa))
          ))
          (net un1_state_25 (joined
           (portRef un1_state_25)
           (portRef A (instanceRef un1_state_82_4))
          ))
          (net un1_state_82_4 (joined
           (portRef Z (instanceRef un1_state_82_4))
           (portRef D (instanceRef un1_state_82))
           (portRef un1_state_82_4)
          ))
          (net un1_k_p2_valid9 (joined
           (portRef Z (instanceRef un1_k_p2_valid9_0_a3))
           (portRef A (instanceRef k_p2_valid9_0_a2_fast))
           (portRef A (instanceRef k_p2_valid8_0_a2_fast))
           (portRef A (instanceRef k_p2_valid9_0_a2))
           (portRef A (instanceRef k_p2_valid8_0_a2))
           (portRef un1_k_p2_valid9)
          ))
          (net (rename cpu0_data_in_0 "cpu0_data_in[0]") (joined
           (portRef (member cpu0_data_in 7))
           (portRef B (instanceRef k_p2_valid9_0_a2_fast))
           (portRef B (instanceRef k_p2_valid8_0_a2_fast))
           (portRef B (instanceRef DP_RNI4J051_0))
           (portRef B (instanceRef k_p2_valid9_0_a2))
           (portRef B (instanceRef k_p2_valid8_0_a2))
          ))
          (net k_p2_valid9 (joined
           (portRef Z (instanceRef k_p2_valid9_0_a2))
           (portRef k_p2_valid9)
          ))
          (net state160 (joined
           (portRef Z (instanceRef state160lto7))
           (portRef C (instanceRef un1_state_1_sqmuxa))
           (portRef A (instanceRef state_1_sqmuxa_8))
           (portRef B (instanceRef state_2_sqmuxa_6_RNI96LT))
           (portRef state160)
          ))
          (net state_1_sqmuxa_8 (joined
           (portRef Z (instanceRef state_1_sqmuxa_8))
           (portRef B (instanceRef state_1_sqmuxa_8_RNIAT1N5))
           (portRef state_1_sqmuxa_8)
          ))
          (net N_21 (joined
           (portRef N_21)
           (portRef A (instanceRef un1_dec_o_ea_ofs8_1))
          ))
          (net ofs16_2 (joined
           (portRef ofs16_2)
           (portRef B (instanceRef un1_dec_o_ea_ofs8_1))
           (portRef D (instanceRef state_23_iv_RNO_5_0))
          ))
          (net (rename k_ind_ea_cnv_0_0 "k_ind_ea_cnv_0[0]") (joined
           (portRef (member k_ind_ea_cnv_0 0))
           (portRef D (instanceRef un1_state_24_1_RNICQBV1))
          ))
          (net un1_state_24_1_RNICQBV1 (joined
           (portRef Z (instanceRef un1_state_24_1_RNICQBV1))
           (portRef un1_state_24_1_RNICQBV1)
          ))
          (net dec_o_ea_ofs8 (joined
           (portRef dec_o_ea_ofs8)
           (portRef A (instanceRef state_cnst_0_a9_9_1))
          ))
          (net un1_state_31_2 (joined
           (portRef un1_state_31_2)
           (portRef B (instanceRef state_cnst_0_1_3))
           (portRef B (instanceRef state_cnst_0_a9_9_1))
           (portRef B (instanceRef state_23_iv_RNO_3_0))
          ))
          (net datamux_o_alu_in_left_path_addr25_1 (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_addr25_1))
           (portRef A (instanceRef datamux_o_alu_in_left_path_addr_cnst_0_a2_rn_RNID94C2_3))
           (portRef A (instanceRef datamux_o_alu_in_left_path_addr_cnst_0_a2_mb_3))
           (portRef B (instanceRef datamux_o_alu_in_left_path_addr_cnst_i_a2_0_1))
          ))
          (net datamux_o_alu_in_left_path_addr26_3 (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_addr25_2))
           (portRef C (instanceRef datamux_o_alu_in_left_path_addr25))
           (portRef C (instanceRef datamux_o_alu_in_left_path_addr_cnst_i_a2_0_1))
          ))
          (net (rename k_ofslo_1 "k_ofslo[1]") (joined
           (portRef (member k_ofslo 6))
           (portRef D (instanceRef un1_eapostbyte_9_0_0_1))
          ))
          (net (rename k_ofslo_3 "k_ofslo[3]") (joined
           (portRef (member k_ofslo 4))
           (portRef D (instanceRef un1_eapostbyte_9_0_0_3))
          ))
          (net N_784 (joined
           (portRef Z (instanceRef state_cnst_0_a9_1_2))
           (portRef A (instanceRef state_cnst_0_2_2))
          ))
          (net N_1239_1 (joined
           (portRef Z (instanceRef un1_state_24_1))
           (portRef A (instanceRef un1_state_62))
           (portRef A (instanceRef un1_state_24_1_RNIF9CB2))
           (portRef B (instanceRef state_cnst_0_2_2))
           (portRef B (instanceRef un1_state_24_1_RNICQBV1))
           (portRef N_1239_1)
          ))
          (net un1_state_18_sn (joined
           (portRef un1_state_18_sn)
           (portRef C (instanceRef state_cnst_0_2_2))
          ))
          (net un1_state_40_2 (joined
           (portRef un1_state_40_2)
           (portRef D (instanceRef state_cnst_0_2_2))
           (portRef B (instanceRef state234_3_RNIAHQR1))
          ))
          (net (rename state_cnv_0_0 "state_cnv_0[0]") (joined
           (portRef (member state_cnv_0 0))
           (portRef B (instanceRef un1_state_24_1_RNIF9CB2))
          ))
          (net (rename k_opcode_6 "k_opcode[6]") (joined
           (portRef k_opcode_6)
           (portRef C (instanceRef datamux_o_alu_in_right_path_data_0_sqmuxa_i_a3))
          ))
          (net un1_state_57_2 (joined
           (portRef un1_state_57_2)
           (portRef A (instanceRef un1_state_15))
          ))
          (net N_1723_4 (joined
           (portRef Z (instanceRef un1_k_pp_regs_4_sqmuxa_0_705_a3_0_4))
           (portRef B (instanceRef state_cnst_0_a9_5_0_RNI822H3_0_2))
           (portRef A (instanceRef state160lto7))
           (portRef A (instanceRef un1_k_pp_regs_11))
           (portRef B (instanceRef state_cnst_0_a9_5_0_RNI822H3_2))
           (portRef N_1723_4)
          ))
          (net (rename ea_reg_3_am_15 "ea_reg_3_am[15]") (joined
           (portRef Z (instanceRef ea_reg_3_am_15))
           (portRef BLUT (instanceRef ea_reg_3_15))
          ))
          (net (rename k_ind_ea_6 "k_ind_ea[6]") (joined
           (portRef (member k_ind_ea 1))
           (portRef A (instanceRef IX_0_sqmuxa_0_o3_0))
           (portRef B (instanceRef SS_1_sqmuxa_0_a2))
           (portRef B (instanceRef SU_1_sqmuxa_2_0_a2))
           (portRef A (instanceRef ea_reg_3_i_m3_bm_0))
           (portRef A (instanceRef ea_reg_3_i_m3_am_0))
           (portRef A (instanceRef ea_reg_3_bm_1))
           (portRef A (instanceRef ea_reg_3_am_1))
           (portRef A (instanceRef ea_reg_3_bm_2))
           (portRef A (instanceRef ea_reg_3_am_2))
           (portRef A (instanceRef ea_reg_3_bm_3))
           (portRef A (instanceRef ea_reg_3_am_3))
           (portRef A (instanceRef ea_reg_3_bm_4))
           (portRef A (instanceRef ea_reg_3_am_4))
           (portRef A (instanceRef ea_reg_3_bm_5))
           (portRef A (instanceRef ea_reg_3_am_5))
           (portRef A (instanceRef ea_reg_3_bm_6))
           (portRef A (instanceRef ea_reg_3_am_6))
           (portRef A (instanceRef ea_reg_3_bm_7))
           (portRef A (instanceRef ea_reg_3_am_7))
           (portRef A (instanceRef ea_reg_3_bm_8))
           (portRef A (instanceRef ea_reg_3_am_8))
           (portRef A (instanceRef ea_reg_3_bm_9))
           (portRef A (instanceRef ea_reg_3_am_9))
           (portRef A (instanceRef ea_reg_3_bm_10))
           (portRef A (instanceRef ea_reg_3_am_10))
           (portRef A (instanceRef ea_reg_3_bm_11))
           (portRef A (instanceRef ea_reg_3_am_11))
           (portRef A (instanceRef ea_reg_3_i_m3_bm_12))
           (portRef A (instanceRef ea_reg_3_i_m3_am_12))
           (portRef A (instanceRef ea_reg_3_bm_13))
           (portRef A (instanceRef ea_reg_3_am_13))
           (portRef A (instanceRef ea_reg_3_bm_14))
           (portRef A (instanceRef ea_reg_3_am_14))
           (portRef A (instanceRef ea_reg_3_bm_15))
           (portRef A (instanceRef ea_reg_3_am_15))
          ))
          (net (rename ea_reg_3_bm_15 "ea_reg_3_bm[15]") (joined
           (portRef Z (instanceRef ea_reg_3_bm_15))
           (portRef ALUT (instanceRef ea_reg_3_15))
          ))
          (net (rename ea_reg_3_am_14 "ea_reg_3_am[14]") (joined
           (portRef Z (instanceRef ea_reg_3_am_14))
           (portRef BLUT (instanceRef ea_reg_3_14))
          ))
          (net (rename ea_reg_3_bm_14 "ea_reg_3_bm[14]") (joined
           (portRef Z (instanceRef ea_reg_3_bm_14))
           (portRef ALUT (instanceRef ea_reg_3_14))
          ))
          (net (rename ea_reg_3_am_13 "ea_reg_3_am[13]") (joined
           (portRef Z (instanceRef ea_reg_3_am_13))
           (portRef BLUT (instanceRef ea_reg_3_13))
          ))
          (net (rename ea_reg_3_bm_13 "ea_reg_3_bm[13]") (joined
           (portRef Z (instanceRef ea_reg_3_bm_13))
           (portRef ALUT (instanceRef ea_reg_3_13))
          ))
          (net (rename ea_reg_3_i_m3_am_12 "ea_reg_3_i_m3_am[12]") (joined
           (portRef Z (instanceRef ea_reg_3_i_m3_am_12))
           (portRef BLUT (instanceRef ea_reg_3_i_m3_12))
          ))
          (net (rename ea_reg_3_i_m3_bm_12 "ea_reg_3_i_m3_bm[12]") (joined
           (portRef Z (instanceRef ea_reg_3_i_m3_bm_12))
           (portRef ALUT (instanceRef ea_reg_3_i_m3_12))
          ))
          (net (rename ea_reg_3_am_11 "ea_reg_3_am[11]") (joined
           (portRef Z (instanceRef ea_reg_3_am_11))
           (portRef BLUT (instanceRef ea_reg_3_11))
          ))
          (net (rename ea_reg_3_bm_11 "ea_reg_3_bm[11]") (joined
           (portRef Z (instanceRef ea_reg_3_bm_11))
           (portRef ALUT (instanceRef ea_reg_3_11))
          ))
          (net (rename ea_reg_3_am_10 "ea_reg_3_am[10]") (joined
           (portRef Z (instanceRef ea_reg_3_am_10))
           (portRef BLUT (instanceRef ea_reg_3_10))
          ))
          (net (rename ea_reg_3_bm_10 "ea_reg_3_bm[10]") (joined
           (portRef Z (instanceRef ea_reg_3_bm_10))
           (portRef ALUT (instanceRef ea_reg_3_10))
          ))
          (net (rename ea_reg_3_am_9 "ea_reg_3_am[9]") (joined
           (portRef Z (instanceRef ea_reg_3_am_9))
           (portRef BLUT (instanceRef ea_reg_3_9))
          ))
          (net (rename ea_reg_3_bm_9 "ea_reg_3_bm[9]") (joined
           (portRef Z (instanceRef ea_reg_3_bm_9))
           (portRef ALUT (instanceRef ea_reg_3_9))
          ))
          (net (rename ea_reg_3_am_8 "ea_reg_3_am[8]") (joined
           (portRef Z (instanceRef ea_reg_3_am_8))
           (portRef BLUT (instanceRef ea_reg_3_8))
          ))
          (net (rename ea_reg_3_bm_8 "ea_reg_3_bm[8]") (joined
           (portRef Z (instanceRef ea_reg_3_bm_8))
           (portRef ALUT (instanceRef ea_reg_3_8))
          ))
          (net (rename ea_reg_3_am_7 "ea_reg_3_am[7]") (joined
           (portRef Z (instanceRef ea_reg_3_am_7))
           (portRef BLUT (instanceRef ea_reg_3_7))
          ))
          (net (rename ea_reg_3_bm_7 "ea_reg_3_bm[7]") (joined
           (portRef Z (instanceRef ea_reg_3_bm_7))
           (portRef ALUT (instanceRef ea_reg_3_7))
          ))
          (net (rename ea_reg_3_am_6 "ea_reg_3_am[6]") (joined
           (portRef Z (instanceRef ea_reg_3_am_6))
           (portRef BLUT (instanceRef ea_reg_3_6))
          ))
          (net (rename ea_reg_3_bm_6 "ea_reg_3_bm[6]") (joined
           (portRef Z (instanceRef ea_reg_3_bm_6))
           (portRef ALUT (instanceRef ea_reg_3_6))
          ))
          (net (rename ea_reg_3_am_5 "ea_reg_3_am[5]") (joined
           (portRef Z (instanceRef ea_reg_3_am_5))
           (portRef BLUT (instanceRef ea_reg_3_5))
          ))
          (net (rename ea_reg_3_bm_5 "ea_reg_3_bm[5]") (joined
           (portRef Z (instanceRef ea_reg_3_bm_5))
           (portRef ALUT (instanceRef ea_reg_3_5))
          ))
          (net (rename ea_reg_3_am_4 "ea_reg_3_am[4]") (joined
           (portRef Z (instanceRef ea_reg_3_am_4))
           (portRef BLUT (instanceRef ea_reg_3_4))
          ))
          (net (rename ea_reg_3_bm_4 "ea_reg_3_bm[4]") (joined
           (portRef Z (instanceRef ea_reg_3_bm_4))
           (portRef ALUT (instanceRef ea_reg_3_4))
          ))
          (net (rename ea_reg_3_am_3 "ea_reg_3_am[3]") (joined
           (portRef Z (instanceRef ea_reg_3_am_3))
           (portRef BLUT (instanceRef ea_reg_3_3))
          ))
          (net (rename ea_reg_3_bm_3 "ea_reg_3_bm[3]") (joined
           (portRef Z (instanceRef ea_reg_3_bm_3))
           (portRef ALUT (instanceRef ea_reg_3_3))
          ))
          (net (rename ea_reg_3_am_2 "ea_reg_3_am[2]") (joined
           (portRef Z (instanceRef ea_reg_3_am_2))
           (portRef BLUT (instanceRef ea_reg_3_2))
          ))
          (net (rename ea_reg_3_bm_2 "ea_reg_3_bm[2]") (joined
           (portRef Z (instanceRef ea_reg_3_bm_2))
           (portRef ALUT (instanceRef ea_reg_3_2))
          ))
          (net (rename ea_reg_3_am_1 "ea_reg_3_am[1]") (joined
           (portRef Z (instanceRef ea_reg_3_am_1))
           (portRef BLUT (instanceRef ea_reg_3_1))
          ))
          (net (rename ea_reg_3_bm_1 "ea_reg_3_bm[1]") (joined
           (portRef Z (instanceRef ea_reg_3_bm_1))
           (portRef ALUT (instanceRef ea_reg_3_1))
          ))
          (net (rename ea_reg_3_i_m3_am_0 "ea_reg_3_i_m3_am[0]") (joined
           (portRef Z (instanceRef ea_reg_3_i_m3_am_0))
           (portRef BLUT (instanceRef ea_reg_3_i_m3_0))
          ))
          (net (rename ea_reg_3_i_m3_bm_0 "ea_reg_3_i_m3_bm[0]") (joined
           (portRef Z (instanceRef ea_reg_3_i_m3_bm_0))
           (portRef ALUT (instanceRef ea_reg_3_i_m3_0))
          ))
          (net N_1991 (joined
           (portRef Z (instanceRef k_new_pc_17_i_m3_1_0))
           (portRef A (instanceRef k_new_pc_17_i_m3_0_0))
          ))
          (net (rename un1_regs_o_pc_1z "un1_regs_o_pc") (joined
           (portRef un1_regs_o_pc_1z)
           (portRef D (instanceRef k_new_pc_17_i_m3_0_0))
          ))
          (net N_1043 (joined
           (portRef Z (instanceRef k_new_pc_17_1_1))
           (portRef A (instanceRef k_new_pc_17_2_1))
          ))
          (net (rename un1_regs_o_pc_0 "un1_regs_o_pc[1]") (joined
           (portRef un1_regs_o_pc_0)
           (portRef C (instanceRef k_new_pc_17_2_1))
          ))
          (net N_1044 (joined
           (portRef Z (instanceRef k_new_pc_17_1_2))
           (portRef A (instanceRef k_new_pc_17_2_2))
          ))
          (net (rename un1_regs_o_pc_1 "un1_regs_o_pc[2]") (joined
           (portRef un1_regs_o_pc_1)
           (portRef C (instanceRef k_new_pc_17_2_2))
          ))
          (net N_1046 (joined
           (portRef Z (instanceRef k_new_pc_17_1_4))
           (portRef A (instanceRef k_new_pc_17_2_4))
          ))
          (net (rename un1_regs_o_pc_3 "un1_regs_o_pc[4]") (joined
           (portRef un1_regs_o_pc_3)
           (portRef C (instanceRef k_new_pc_17_2_4))
          ))
          (net N_1047 (joined
           (portRef Z (instanceRef k_new_pc_17_1_5))
           (portRef A (instanceRef k_new_pc_17_2_5))
          ))
          (net (rename un1_regs_o_pc_4 "un1_regs_o_pc[5]") (joined
           (portRef un1_regs_o_pc_4)
           (portRef C (instanceRef k_new_pc_17_2_5))
          ))
          (net N_1048 (joined
           (portRef Z (instanceRef k_new_pc_17_1_6))
           (portRef A (instanceRef k_new_pc_17_2_6))
          ))
          (net (rename un1_regs_o_pc_5 "un1_regs_o_pc[6]") (joined
           (portRef un1_regs_o_pc_5)
           (portRef C (instanceRef k_new_pc_17_2_6))
          ))
          (net un1_state_62 (joined
           (portRef Z (instanceRef un1_state_62))
           (portRef un1_state_62)
          ))
          (net (rename ea_reg_post18_2_0 "ea_reg_post18_2[0]") (joined
           (portRef Z (instanceRef ea_reg_post17_2_0))
           (portRef D (instanceRef ea_reg_post17_0))
           (portRef D (instanceRef ea_reg_post18_0))
          ))
          (net (rename k_pp_regs_3 "k_pp_regs[3]") (joined
           (portRef (member k_pp_regs 4))
           (portRef A (instanceRef un1_k_pp_regs_4_sqmuxa_0_705_a3_0_0_0))
           (portRef A (instanceRef un1_k_pp_regs_7_sqmuxa_0_628_a3_0_3))
           (portRef C (instanceRef state160lto7))
           (portRef B (instanceRef state160lto7_4_RNISEVF2))
           (portRef B (instanceRef un1_k_pp_regs_11))
          ))
          (net un1_k_pp_regs_6_sqmuxa_0_653_a3_0_1 (joined
           (portRef Z (instanceRef un1_k_pp_regs_6_sqmuxa_0_653_a3_0_1))
           (portRef C (instanceRef state160lto7_4_RNISEVF2))
          ))
          (net un1_k_pp_regs_5_sqmuxa_0_679_a3_0_2 (joined
           (portRef Z (instanceRef un1_k_pp_regs_7_sqmuxa_0_628_a3_0_3))
           (portRef B (instanceRef state160lto7_1_RNIGDLK3_0))
           (portRef B (instanceRef state160lto7_1_RNIGDLK3))
           (portRef C (instanceRef un1_k_pp_regs_7_sqmuxa_0_628_a3_0))
          ))
          (net un1_k_pp_regs_7_sqmuxa_0_628_a3_0_1 (joined
           (portRef Z (instanceRef un1_k_pp_regs_7_sqmuxa_0_628_a3_0_1))
           (portRef D (instanceRef un1_k_pp_regs_7_sqmuxa_0_628_a3_0))
          ))
          (net (rename k_pp_active_reg_0 "k_pp_active_reg[0]") (joined
           (portRef (member k_pp_active_reg 7))
           (portRef B (instanceRef datamux_o_alu_in_left_path_addr25))
           (portRef A (instanceRef datamux_o_alu_in_left_path_addr24_3_RNIOQR02))
           (portRef A (instanceRef datamux_o_alu_in_left_path_addr25_1))
           (portRef A (instanceRef datamux_o_alu_in_left_path_addr26_1))
           (portRef A (instanceRef datamux_o_alu_in_left_path_addr29_1))
           (portRef A (instanceRef datamux_o_alu_in_left_path_addr24))
           (portRef A (instanceRef un1_datamux_o_alu_in_left_path_addr30_1_0_a0))
          ))
          (net datamux_o_alu_in_left_path_addr23_3 (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_addr24_3))
           (portRef D (instanceRef datamux_o_alu_in_left_path_addr_cnst_0_a2_rn_3))
           (portRef B (instanceRef datamux_o_alu_in_left_path_addr_cnst_0_a2_sn_3))
           (portRef D (instanceRef datamux_o_alu_in_left_path_addr24_3_RNIOQR02))
           (portRef C (instanceRef datamux_o_alu_in_left_path_addr24))
          ))
          (net datamux_o_alu_in_left_path_addr24_0 (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_addr24_0))
           (portRef D (instanceRef datamux_o_alu_in_left_path_addr24))
          ))
          (net un1_k_p2_valid9_0_a3_4 (joined
           (portRef Z (instanceRef un1_k_p2_valid9_0_a3_4))
           (portRef A (instanceRef un1_k_p2_valid9_0_a3))
          ))
          (net (rename cpu0_data_in_6 "cpu0_data_in[6]") (joined
           (portRef (member cpu0_data_in 1))
           (portRef C (instanceRef un1_k_p2_valid9_0_a3))
          ))
          (net (rename cpu0_data_in_7 "cpu0_data_in[7]") (joined
           (portRef (member cpu0_data_in 0))
           (portRef D (instanceRef un1_k_p2_valid9_0_a3))
          ))
          (net state160_1 (joined
           (portRef Z (instanceRef state160lto7_1))
           (portRef C (instanceRef state160lto7_1_RNIGDLK3_0))
           (portRef C (instanceRef state160lto7_1_RNIGDLK3))
           (portRef D (instanceRef state160lto7))
          ))
          (net N_1237_1 (joined
           (portRef Z (instanceRef un1_state_52_1))
           (portRef A (instanceRef un1_state_98_i_a4))
           (portRef B (instanceRef state_cnst_0_5_RNO_3))
           (portRef N_1237_1)
          ))
          (net un1_state_60_3 (joined
           (portRef un1_state_60_3)
           (portRef B (instanceRef un1_state_98_i_a4))
          ))
          (net (rename k_opcode_1 "k_opcode[1]") (joined
           (portRef k_opcode_1)
           (portRef A (instanceRef datamux_o_alu_in_right_path_data_0_sqmuxa_i_a2_0_0))
          ))
          (net k_opcode_0_rep1 (joined
           (portRef k_opcode_0_rep1)
           (portRef B (instanceRef datamux_o_alu_in_right_path_data_0_sqmuxa_i_a2_0_0))
           (portRef C (instanceRef datamux_o_alu_in_right_path_data_0_sqmuxa_i_a2))
          ))
          (net k_opcode_2_rep2 (joined
           (portRef k_opcode_2_rep2)
           (portRef C (instanceRef datamux_o_alu_in_right_path_data_0_sqmuxa_i_a2_0_0))
           (portRef D (instanceRef datamux_o_alu_in_right_path_data_0_sqmuxa_i_a2))
          ))
          (net k_opcode_3_rep2 (joined
           (portRef k_opcode_3_rep2)
           (portRef D (instanceRef datamux_o_alu_in_right_path_data_0_sqmuxa_i_a2_0_0))
          ))
          (net datamux_o_alu_in_right_path_data_0_sqmuxa_i_a2_0_0 (joined
           (portRef Z (instanceRef datamux_o_alu_in_right_path_data_0_sqmuxa_i_a2_0_0))
           (portRef C (instanceRef datamux_o_alu_in_right_path_data_0_sqmuxa_i_1_sx))
          ))
          (net N_795 (joined
           (portRef N_795)
           (portRef A (instanceRef state_cnst_0_1_3))
          ))
          (net un1_state_49_1 (joined
           (portRef un1_state_49_1)
           (portRef A (instanceRef state_cnst_0_0_1))
           (portRef C (instanceRef state_23_iv_RNO_7_0))
          ))
          (net N_1811 (joined
           (portRef Z (instanceRef un1_state_109_i_0_833))
           (portRef N_1811)
          ))
          (net (rename k_pp_active_reg_1 "k_pp_active_reg[1]") (joined
           (portRef (member k_pp_active_reg 6))
           (portRef B (instanceRef datamux_o_alu_in_left_path_addr_cnst_0_a2_rn_3))
           (portRef A (instanceRef datamux_o_alu_in_left_path_addr_cnst_0_a2_sn_sx_3))
           (portRef A (instanceRef datamux_o_alu_in_left_path_addr27_1))
           (portRef A (instanceRef datamux_o_alu_in_left_path_addr30_1))
           (portRef B (instanceRef datamux_o_alu_in_left_path_addr29_1))
           (portRef A (instanceRef datamux_o_alu_in_left_path_addr25_2))
           (portRef B (instanceRef un1_datamux_o_alu_in_left_path_addr30_1_0_a0))
          ))
          (net (rename k_pp_active_reg_2 "k_pp_active_reg[2]") (joined
           (portRef (member k_pp_active_reg 5))
           (portRef A (instanceRef datamux_o_alu_in_left_path_addr25_2_x))
           (portRef B (instanceRef datamux_o_alu_in_left_path_addr_cnst_0_a2_sn_sx_3))
           (portRef B (instanceRef datamux_o_alu_in_left_path_addr27_1))
           (portRef B (instanceRef datamux_o_alu_in_left_path_addr30_1))
           (portRef C (instanceRef datamux_o_alu_in_left_path_addr29_1))
           (portRef B (instanceRef datamux_o_alu_in_left_path_addr25_2))
           (portRef C (instanceRef un1_datamux_o_alu_in_left_path_addr30_1_0_a0))
          ))
          (net (rename k_pp_active_reg_fast_1 "k_pp_active_reg_fast[1]") (joined
           (portRef (member k_pp_active_reg_fast 6))
           (portRef A (instanceRef datamux_o_alu_in_left_path_addr24_3))
          ))
          (net (rename k_pp_active_reg_fast_2 "k_pp_active_reg_fast[2]") (joined
           (portRef (member k_pp_active_reg_fast 5))
           (portRef B (instanceRef datamux_o_alu_in_left_path_addr24_3))
          ))
          (net (rename k_pp_active_reg_fast_3 "k_pp_active_reg_fast[3]") (joined
           (portRef (member k_pp_active_reg_fast 4))
           (portRef C (instanceRef datamux_o_alu_in_left_path_addr24_3))
          ))
          (net (rename k_pp_active_reg_fast_4 "k_pp_active_reg_fast[4]") (joined
           (portRef (member k_pp_active_reg_fast 3))
           (portRef D (instanceRef datamux_o_alu_in_left_path_addr24_3))
          ))
          (net (rename k_pp_active_reg_fast_6 "k_pp_active_reg_fast[6]") (joined
           (portRef (member k_pp_active_reg_fast 1))
           (portRef B (instanceRef datamux_o_alu_in_left_path_addr25_2_x))
           (portRef C (instanceRef datamux_o_alu_in_left_path_addr_cnst_0_a2_sn_sx_3))
           (portRef C (instanceRef datamux_o_alu_in_left_path_addr23_1_0))
           (portRef C (instanceRef datamux_o_alu_in_left_path_addr27_6))
           (portRef C (instanceRef datamux_o_alu_in_left_path_addr25_2))
          ))
          (net (rename k_pp_active_reg_fast_7 "k_pp_active_reg_fast[7]") (joined
           (portRef (member k_pp_active_reg_fast 0))
           (portRef C (instanceRef datamux_o_alu_in_left_path_addr25_2_x))
           (portRef D (instanceRef datamux_o_alu_in_left_path_addr_cnst_0_a2_sn_sx_3))
           (portRef D (instanceRef datamux_o_alu_in_left_path_addr23_1_0))
           (portRef D (instanceRef datamux_o_alu_in_left_path_addr27_6))
           (portRef D (instanceRef datamux_o_alu_in_left_path_addr25_2))
          ))
          (net k_write_post_incdec (joined
           (portRef k_write_post_incdec)
           (portRef B (instanceRef IX_0_sqmuxa_0_o3_0))
           (portRef C (instanceRef SS_1_sqmuxa_0_a2))
           (portRef C (instanceRef SU_1_sqmuxa_2_0_a2))
          ))
          (net (rename k_ofslo_7 "k_ofslo[7]") (joined
           (portRef (member k_ofslo 0))
           (portRef D (instanceRef un1_eapostbyte_9_1_a4_1_8))
           (portRef C (instanceRef un1_eapostbyte_9_0_0_7))
          ))
          (net (rename k_pp_active_reg_3 "k_pp_active_reg[3]") (joined
           (portRef (member k_pp_active_reg 4))
           (portRef A (instanceRef datamux_o_alu_in_left_path_addr25_1_x))
           (portRef C (instanceRef datamux_o_alu_in_left_path_addr27_1))
           (portRef C (instanceRef datamux_o_alu_in_left_path_addr30_1))
           (portRef B (instanceRef datamux_o_alu_in_left_path_addr25_1))
           (portRef B (instanceRef datamux_o_alu_in_left_path_addr26_1))
           (portRef D (instanceRef datamux_o_alu_in_left_path_addr29_1))
           (portRef D (instanceRef un1_datamux_o_alu_in_left_path_addr30_1_0_a0))
          ))
          (net (rename k_pp_active_reg_4 "k_pp_active_reg[4]") (joined
           (portRef (member k_pp_active_reg 3))
           (portRef B (instanceRef datamux_o_alu_in_left_path_addr25_1_x))
           (portRef C (instanceRef datamux_o_alu_in_left_path_addr25_1))
           (portRef C (instanceRef datamux_o_alu_in_left_path_addr26_1))
           (portRef A (instanceRef datamux_o_alu_in_left_path_addr27_6))
          ))
          (net (rename k_pp_active_reg_5 "k_pp_active_reg[5]") (joined
           (portRef (member k_pp_active_reg 2))
           (portRef C (instanceRef datamux_o_alu_in_left_path_addr25_1_x))
           (portRef B (instanceRef datamux_o_alu_in_left_path_addr24_3_RNIOQR02))
           (portRef D (instanceRef datamux_o_alu_in_left_path_addr25_1))
           (portRef D (instanceRef datamux_o_alu_in_left_path_addr26_1))
           (portRef B (instanceRef datamux_o_alu_in_left_path_addr24))
          ))
          (net datamux_o_alu_in_left_path_addr26_1_0 (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_addr26_1))
           (portRef C (instanceRef datamux_o_alu_in_left_path_addr_cnst_0_a2_sn_3))
          ))
          (net (rename k_pp_active_reg_fast_5 "k_pp_active_reg_fast[5]") (joined
           (portRef (member k_pp_active_reg_fast 2))
           (portRef B (instanceRef datamux_o_alu_in_left_path_addr23_1_0))
           (portRef B (instanceRef datamux_o_alu_in_left_path_addr27_6))
          ))
          (net datamux_o_alu_in_left_path_addr23_1 (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_addr23_1_0))
           (portRef C (instanceRef datamux_o_alu_in_left_path_addr_cnst_0_a2_rn_3))
           (portRef A (instanceRef datamux_o_alu_in_left_path_addr_cnst_0_a2_sn_3))
          ))
          (net (rename k_pp_active_reg_fast_0 "k_pp_active_reg_fast[0]") (joined
           (portRef (member k_pp_active_reg_fast 7))
           (portRef D (instanceRef datamux_o_alu_in_left_path_addr27_1))
           (portRef D (instanceRef datamux_o_alu_in_left_path_addr30_1))
           (portRef A (instanceRef datamux_o_alu_in_left_path_addr23_1_0))
          ))
          (net (rename k_opcode_4 "k_opcode[4]") (joined
           (portRef k_opcode_4)
           (portRef C (instanceRef state54_2_0))
           (portRef A (instanceRef datamux_o_alu_in_right_path_data_0_sqmuxa_i_a3))
          ))
          (net (rename k_opcode_5 "k_opcode[5]") (joined
           (portRef k_opcode_5)
           (portRef D (instanceRef state54_2_0))
           (portRef B (instanceRef datamux_o_alu_in_right_path_data_0_sqmuxa_i_a3))
          ))
          (net (rename neg16_w_4 "neg16_w[4]") (joined
           (portRef (member neg16_w 3))
           (portRef A (instanceRef cff_6_i_a2_6_8))
          ))
          (net (rename neg16_w_5 "neg16_w[5]") (joined
           (portRef (member neg16_w 2))
           (portRef B (instanceRef cff_6_i_a2_6_8))
          ))
          (net (rename neg16_w_6 "neg16_w[6]") (joined
           (portRef (member neg16_w 1))
           (portRef C (instanceRef cff_6_i_a2_6_8))
          ))
          (net (rename neg16_w_7 "neg16_w[7]") (joined
           (portRef (member neg16_w 0))
           (portRef D (instanceRef cff_6_i_a2_6_8))
          ))
          (net (rename cpu0_data_in_2 "cpu0_data_in[2]") (joined
           (portRef (member cpu0_data_in 5))
           (portRef A (instanceRef k_ealo_7_2))
           (portRef B (instanceRef DP_RNI6L051_2))
           (portRef B (instanceRef un1_k_p2_valid9_0_a3_4))
          ))
          (net (rename cpu0_data_in_3 "cpu0_data_in[3]") (joined
           (portRef (member cpu0_data_in 4))
           (portRef B (instanceRef DP_RNI7M051_3))
           (portRef A (instanceRef k_ealo_7_3))
           (portRef C (instanceRef un1_k_p2_valid9_0_a3_4))
          ))
          (net (rename k_ealo_7_3 "k_ealo_7[3]") (joined
           (portRef Z (instanceRef k_ealo_7_3))
           (portRef (member k_ealo_7 0))
          ))
          (net (rename k_ealo_7_2 "k_ealo_7[2]") (joined
           (portRef Z (instanceRef k_ealo_7_2))
           (portRef (member k_ealo_7 1))
          ))
          (net (rename cpu0_data_in_1 "cpu0_data_in[1]") (joined
           (portRef (member cpu0_data_in 6))
           (portRef A (instanceRef k_ealo_7_1))
           (portRef A (instanceRef un1_k_p2_valid9_0_a3_4))
          ))
          (net (rename k_ealo_7_1 "k_ealo_7[1]") (joined
           (portRef Z (instanceRef k_ealo_7_1))
           (portRef (member k_ealo_7 2))
          ))
          (net (rename cpu0_data_in_4 "cpu0_data_in[4]") (joined
           (portRef (member cpu0_data_in 3))
           (portRef B (instanceRef DP_RNI8P151_4))
           (portRef B (instanceRef un1_k_p2_valid9_0_a3))
          ))
          (net (rename cpu0_data_in_5 "cpu0_data_in[5]") (joined
           (portRef (member cpu0_data_in 2))
           (portRef B (instanceRef DP_RNI9Q151_5))
           (portRef D (instanceRef un1_k_p2_valid9_0_a3_4))
          ))
          (net (rename k_memlof_0 "k_memlof[0]") (joined
           (portRef k_memlof_0)
           (portRef B (instanceRef k_new_pc_17_i_m3_1_0))
           (portRef C (instanceRef k_new_pc_17_i_m3_0_0))
          ))
          (net dec_o_ea_indirectf (joined
           (portRef dec_o_ea_indirectf)
           (portRef A (instanceRef k_new_pc_17_1_13))
           (portRef A (instanceRef k_new_pc_17_1_11))
           (portRef A (instanceRef k_new_pc_17_1_10))
           (portRef A (instanceRef k_new_pc_17_1_9))
           (portRef A (instanceRef k_new_pc_17_1_6))
           (portRef A (instanceRef k_new_pc_17_1_5))
           (portRef A (instanceRef k_new_pc_17_1_4))
           (portRef A (instanceRef k_new_pc_17_1_2))
           (portRef A (instanceRef k_new_pc_17_1_1))
           (portRef A (instanceRef k_new_pc_17_i_m3_1_0))
          ))
          (net (rename k_memlof_1 "k_memlof[1]") (joined
           (portRef k_memlof_1)
           (portRef B (instanceRef k_new_pc_17_1_1))
          ))
          (net (rename k_memlof_2 "k_memlof[2]") (joined
           (portRef k_memlof_2)
           (portRef B (instanceRef k_new_pc_17_1_2))
          ))
          (net (rename k_memlof_4 "k_memlof[4]") (joined
           (portRef k_memlof_4)
           (portRef B (instanceRef k_new_pc_17_1_4))
          ))
          (net (rename k_memlof_5 "k_memlof[5]") (joined
           (portRef k_memlof_5)
           (portRef B (instanceRef k_new_pc_17_1_5))
          ))
          (net (rename k_memlof_6 "k_memlof[6]") (joined
           (portRef k_memlof_6)
           (portRef B (instanceRef k_new_pc_17_1_6))
          ))
          (net (rename k_memhif_0 "k_memhif[1]") (joined
           (portRef k_memhif_0)
           (portRef B (instanceRef k_new_pc_17_1_9))
          ))
          (net (rename k_memhif_1 "k_memhif[2]") (joined
           (portRef k_memhif_1)
           (portRef B (instanceRef k_new_pc_17_1_10))
          ))
          (net (rename k_memhif_2 "k_memhif[3]") (joined
           (portRef k_memhif_2)
           (portRef B (instanceRef k_new_pc_17_1_11))
          ))
          (net (rename k_memhif_4 "k_memhif[5]") (joined
           (portRef k_memhif_4)
           (portRef B (instanceRef k_new_pc_17_1_13))
          ))
          (net N_1436 (joined
           (portRef Z (instanceRef state_23_0_iv_352_o7_0))
           (portRef C (instanceRef state234_3_RNIAHQR1))
           (portRef N_1436)
          ))
          (net un1_state_61_3 (joined
           (portRef Z (instanceRef un1_state_47_2))
           (portRef un1_state_61_3)
          ))
          (net k_cpu_data_o_7_sn_N_2 (joined
           (portRef Z (instanceRef k_cpu_data_o_7_sn_m1))
           (portRef k_cpu_data_o_7_sn_N_2)
          ))
          (net N_44 (joined
           (portRef N_44)
           (portRef BLUT (instanceRef cff_6_i_m2_1))
          ))
          (net N_332 (joined
           (portRef Z (instanceRef path_left_data_6_3))
           (portRef B (instanceRef path_left_data_3))
           (portRef N_332)
          ))
          (net N_315 (joined
           (portRef Z (instanceRef path_left_data_5_4))
           (portRef C (instanceRef path_left_data_bm_4))
           (portRef N_315)
          ))
          (net N_349 (joined
           (portRef Z (instanceRef path_left_data_7_5))
           (portRef C (instanceRef path_left_data_5))
           (portRef N_349)
          ))
          (net N_350 (joined
           (portRef Z (instanceRef path_left_data_7_6))
           (portRef C (instanceRef path_left_data_6))
           (portRef N_350)
          ))
          (net (rename un7_0_f1_0_1 "un7_0_f1_0[1]") (joined
           (portRef Z (instanceRef un7_0_f1_0_1))
           (portRef C0 (instanceRef un1_ea_reg_cry_1_0))
          ))
          (net un1_k_pp_regs_5_sqmuxa_0_679_1 (joined
           (portRef Z (instanceRef un1_k_pp_regs_5_sqmuxa_0_679_1))
           (portRef A (instanceRef state160lto7_1_RNIGDLK3_0))
           (portRef A (instanceRef state160lto7_1_RNIGDLK3))
          ))
          (net N_1688 (joined
           (portRef Z (instanceRef state160lto7_1_RNIGDLK3))
           (portRef N_1688)
          ))
          (net k_pp_regs_27_sn_m7_1 (joined
           (portRef Z (instanceRef un1_k_pp_regs_11_RNIVUM72))
           (portRef B (instanceRef un1_k_pp_regs_7_sqmuxa_RNIFKAN2))
           (portRef k_pp_regs_27_sn_m7_1)
          ))
          (net un1_k_pp_regs_7_sqmuxa (joined
           (portRef Z (instanceRef un1_k_pp_regs_7_sqmuxa))
           (portRef C (instanceRef un1_k_pp_regs_7_sqmuxa_RNIFKAN2))
           (portRef un1_k_pp_regs_7_sqmuxa)
          ))
          (net k_pp_regs_27_sn_N_8 (joined
           (portRef Z (instanceRef un1_k_pp_regs_7_sqmuxa_RNIFKAN2))
           (portRef k_pp_regs_27_sn_N_8)
          ))
          (net (rename next_mem_state_0 "next_mem_state[0]") (joined
           (portRef next_mem_state_0)
           (portRef A (instanceRef state_23_iv_1_1_0))
          ))
          (net (rename next_state_0 "next_state[0]") (joined
           (portRef next_state_0)
           (portRef B (instanceRef state_23_iv_1_1_0))
          ))
          (net (rename state_23_iv_1_1_0 "state_23_iv_1_1[0]") (joined
           (portRef Z (instanceRef state_23_iv_1_1_0))
           (portRef B (instanceRef state_23_iv_1_0))
          ))
          (net (rename next_push_state_0 "next_push_state[0]") (joined
           (portRef (member next_push_state 1))
           (portRef A (instanceRef state_23_iv_1_0))
           (portRef A (instanceRef state_23_iv_1_3))
          ))
          (net k_p2_valid (joined
           (portRef k_p2_valid)
           (portRef C (instanceRef state_cnst_0_10_1_1))
           (portRef C (instanceRef state_cnst_i_a9_10_0))
          ))
          (net (rename state_cnst_0_10_1_1 "state_cnst_0_10_1[1]") (joined
           (portRef Z (instanceRef state_cnst_0_10_1_1))
           (portRef C (instanceRef state_cnst_0_10_1))
          ))
          (net (rename path_left_data_7_1_1 "path_left_data_7_1[1]") (joined
           (portRef Z (instanceRef path_left_data_7_1_1))
           (portRef D (instanceRef path_left_data_7_1))
          ))
          (net N_345 (joined
           (portRef Z (instanceRef path_left_data_7_1))
           (portRef C (instanceRef path_left_data_1))
           (portRef N_345)
          ))
          (net k_pp_regs_3_sqmuxa_1_0_0 (joined
           (portRef k_pp_regs_3_sqmuxa_1_0_0)
           (portRef C (instanceRef state_cnst_0_a9_5_0_RNI822H3_0_2))
           (portRef C (instanceRef state_cnst_0_a9_5_0_RNI822H3_2))
          ))
          (net N_1706_fast (joined
           (portRef Z (instanceRef state_cnst_0_a9_5_0_RNI822H3_0_2))
           (portRef N_1706_fast)
          ))
          (net N_1688_fast (joined
           (portRef Z (instanceRef state160lto7_1_RNIGDLK3_0))
           (portRef N_1688_fast)
          ))
          (net N_1670_fast (joined
           (portRef Z (instanceRef state_cnst_0_a9_5_0_RNIV5B83_0_2))
           (portRef N_1670_fast)
          ))
          (net (rename datamux_o_alu_in_left_path_addr_1_RNI208S7_1 "datamux_o_alu_in_left_path_addr_1_RNI208S7[1]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_addr_1_RNI208S7_1))
           (portRef (member datamux_o_alu_in_left_path_addr_1_rni208s7 0))
          ))
          (net (rename datamux_o_alu_in_left_path_addr_cnst_0_a2_rn_0_3 "datamux_o_alu_in_left_path_addr_cnst_0_a2_rn_0[3]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_addr_cnst_0_a2_rn_3))
           (portRef B (instanceRef datamux_o_alu_in_left_path_addr_cnst_0_a2_rn_RNID94C2_3))
           (portRef B (instanceRef datamux_o_alu_in_left_path_addr_cnst_0_a2_mb_3))
          ))
          (net (rename datamux_o_alu_in_left_path_addr_cnst_0_a2_sn_3 "datamux_o_alu_in_left_path_addr_cnst_0_a2_sn[3]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_addr_cnst_0_a2_sn_3))
           (portRef C (instanceRef datamux_o_alu_in_left_path_addr_cnst_0_a2_rn_RNID94C2_3))
           (portRef C (instanceRef datamux_o_alu_in_left_path_addr_cnst_0_a2_mb_3))
          ))
          (net (rename datamux_o_alu_in_left_path_addr_cnst_3 "datamux_o_alu_in_left_path_addr_cnst[3]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_addr_cnst_0_a2_mb_3))
           (portRef B (instanceRef datamux_o_dest_reg_addr_3))
           (portRef datamux_o_alu_in_left_path_addr_cnst_3)
          ))
          (net (rename k_pp_active_reg_6 "k_pp_active_reg[6]") (joined
           (portRef (member k_pp_active_reg 1))
           (portRef A (instanceRef datamux_o_alu_in_left_path_addr23_1))
           (portRef A (instanceRef datamux_o_alu_in_left_path_addr24_0))
          ))
          (net (rename k_pp_active_reg_7 "k_pp_active_reg[7]") (joined
           (portRef (member k_pp_active_reg 0))
           (portRef B (instanceRef datamux_o_alu_in_left_path_addr23_1))
           (portRef B (instanceRef datamux_o_alu_in_left_path_addr24_0))
          ))
          (net datamux_o_alu_in_left_path_addr23_1_0 (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_addr23_1))
           (portRef C (instanceRef datamux_o_alu_in_left_path_addr24_3_RNIOQR02))
          ))
          (net (rename path_left_data_i_m3_4_1_0 "path_left_data_i_m3_4_1[0]") (joined
           (portRef Z (instanceRef path_left_data_i_m3_4_1_0))
           (portRef D (instanceRef path_left_data_i_m3_4_0))
          ))
          (net N_121 (joined
           (portRef Z (instanceRef path_left_data_i_m3_4_0))
           (portRef N_121)
          ))
          (net (rename path_left_data_7_1_1_1 "path_left_data_7_1_1[1]") (joined
           (portRef Z (instanceRef path_left_data_7_1_1_1))
           (portRef C (instanceRef path_left_data_7_1_1))
          ))
          (net N_1724_fast (joined
           (portRef Z (instanceRef un75_RNIM9IC2))
           (portRef N_1724_fast)
          ))
          (net N_1652_fast (joined
           (portRef Z (instanceRef un1_k_pp_regs_7_sqmuxa_0_628_fast))
           (portRef N_1652_fast)
          ))
          (net k_p2_valid8_fast (joined
           (portRef Z (instanceRef k_p2_valid8_0_a2_fast))
           (portRef k_p2_valid8_fast)
          ))
          (net (rename datamux_o_alu_in_left_path_addr_cnst_0_a2_sn_sx_3 "datamux_o_alu_in_left_path_addr_cnst_0_a2_sn_sx[3]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_addr_cnst_0_a2_sn_sx_3))
           (portRef D (instanceRef datamux_o_alu_in_left_path_addr_cnst_0_a2_sn_3))
          ))
          (net datamux_o_alu_in_left_path_addr25_2_x (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_addr25_2_x))
           (portRef A (instanceRef datamux_o_alu_in_left_path_addr_cnst_0_a2_rn_3))
          ))
          (net (rename path_left_data_6_RNO_4 "path_left_data_6_RNO[4]") (joined
           (portRef Z (instanceRef path_left_data_6_RNO_4))
           (portRef A (instanceRef path_left_data_6_4))
          ))
          (net N_333 (joined
           (portRef Z (instanceRef path_left_data_6_4))
           (portRef N_333)
          ))
          (net (rename path_left_data_4_sx_7 "path_left_data_4_sx[7]") (joined
           (portRef Z (instanceRef path_left_data_4_sx_7))
           (portRef C (instanceRef path_left_data_4_7))
          ))
          (net N_302 (joined
           (portRef Z (instanceRef path_left_data_4_7))
           (portRef N_302)
          ))
          (net un1_datamux_o_alu_in_left_path_addr30_1_sx (joined
           (portRef Z (instanceRef un1_datamux_o_alu_in_left_path_addr30_1_0_a0_RNI7APF1))
           (portRef D (instanceRef datamux_o_alu_in_left_path_addr_cnst_0_a2_rn_RNID94C2_3))
          ))
          (net (rename path_left_addr_3_1 "path_left_addr_3[1]") (joined
           (portRef (member path_left_addr_3 0))
           (portRef B (instanceRef datamux_o_alu_in_left_path_addr_1_0_bm_1))
          ))
          (net (rename path_left_addr_cnst_1 "path_left_addr_cnst[1]") (joined
           (portRef (member path_left_addr_cnst 0))
           (portRef C (instanceRef datamux_o_alu_in_left_path_addr_1_0_bm_1))
          ))
          (net datamux_o_alu_in_right_path_data_0_sqmuxa_i_1_sx (joined
           (portRef Z (instanceRef datamux_o_alu_in_right_path_data_0_sqmuxa_i_1_sx))
           (portRef C (instanceRef datamux_o_alu_in_right_path_data_0_sqmuxa_i))
          ))
          (net (rename datamux_o_alu_in_left_path_addr_cnst_0_a2_x_0 "datamux_o_alu_in_left_path_addr_cnst_0_a2_x[0]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_addr_cnst_0_a2_x_0))
           (portRef A (instanceRef datamux_o_alu_in_left_path_addr_1_d_0))
          ))
          (net datamux_o_alu_in_left_path_addr25_1_x (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_addr25_1_x))
           (portRef A (instanceRef datamux_o_alu_in_left_path_addr25))
          ))
          (net datamux_o_alu_in_right_path_data29_1 (joined
           (portRef datamux_o_alu_in_right_path_data29_1)
           (portRef B (instanceRef datamux_o_alu_in_right_path_data_0_sqmuxa_i_1_1))
          ))
          (net (rename path_right_addr_4_i_1_1 "path_right_addr_4_i_1[1]") (joined
           (portRef (member path_right_addr_4_i_1 0))
           (portRef D (instanceRef datamux_o_alu_in_right_path_data_0_sqmuxa_i_1_1))
          ))
          (net datamux_o_alu_in_right_path_data_0_sqmuxa_i_1_1 (joined
           (portRef Z (instanceRef datamux_o_alu_in_right_path_data_0_sqmuxa_i_1_1))
           (portRef B (instanceRef datamux_o_alu_in_right_path_data_0_sqmuxa_i))
          ))
          (net (rename dec_o_right_path_addr_3 "dec_o_right_path_addr[3]") (joined
           (portRef dec_o_right_path_addr_3)
           (portRef A (instanceRef datamux_o_alu_in_right_path_data_0_sqmuxa_i))
          ))
          (net N_1968 (joined
           (portRef Z (instanceRef datamux_o_alu_in_right_path_data_0_sqmuxa_i))
           (portRef N_1968)
          ))
          (net k_p2_valid9_fast (joined
           (portRef Z (instanceRef k_p2_valid9_0_a2_fast))
           (portRef k_p2_valid9_fast)
          ))
          (net (rename path_right_addr_4_0_a3_3_x_RNIS4H11_0 "path_right_addr_4_0_a3_3_x_RNIS4H11[0]") (joined
           (portRef (member path_right_addr_4_0_a3_3_x_rnis4h11 0))
           (portRef A (instanceRef datamux_o_alu_in_left_path_data6))
           (portRef A (instanceRef datamux_o_alu_in_left_path_addr_1_0_bm_1))
          ))
          (net N_295 (joined
           (portRef N_295)
           (portRef B (instanceRef datamux_o_alu_in_left_path_data6))
           (portRef A (instanceRef datamux_o_alu_in_left_path_data6_x))
          ))
          (net N_298 (joined
           (portRef N_298)
           (portRef C (instanceRef datamux_o_alu_in_left_path_data6))
          ))
          (net un1_dest_reg_2_sqmuxa_1_1_0_2 (joined
           (portRef un1_dest_reg_2_sqmuxa_1_1_0_2)
           (portRef D (instanceRef datamux_o_alu_in_left_path_data6))
           (portRef D (instanceRef datamux_o_alu_in_left_path_addr_1_0_bm_1))
          ))
          (net un1_state_74_2 (joined
           (portRef Z (instanceRef un1_state_15_1_RNIFNNC2))
           (portRef A (instanceRef un1_state_74))
           (portRef un1_state_74_2)
          ))
          (net (rename state_23_0_iv_i_4 "state_23_0_iv_i[4]") (joined
           (portRef Z (instanceRef state_23_0_iv_i_4))
           (portRef (member state_23_0_iv_i 0))
          ))
          (net un1_SS_1_cry_14 (joined
           (portRef COUT (instanceRef un1_SS_1_cry_13_0))
           (portRef CIN (instanceRef un1_SS_1_s_15_0))
          ))
          (net un1_SS_1_s_15_0_COUT (joined
           (portRef COUT (instanceRef un1_SS_1_s_15_0))
          ))
          (net un1_SS_1_s_15_0_S1 (joined
           (portRef S1 (instanceRef un1_SS_1_s_15_0))
          ))
          (net un1_SS_1_cry_12 (joined
           (portRef COUT (instanceRef un1_SS_1_cry_11_0))
           (portRef CIN (instanceRef un1_SS_1_cry_13_0))
          ))
          (net un1_SS_1_cry_10 (joined
           (portRef COUT (instanceRef un1_SS_1_cry_9_0))
           (portRef CIN (instanceRef un1_SS_1_cry_11_0))
          ))
          (net un1_SS_1_cry_8 (joined
           (portRef COUT (instanceRef un1_SS_1_cry_7_0))
           (portRef CIN (instanceRef un1_SS_1_cry_9_0))
          ))
          (net un1_SS_1_cry_6 (joined
           (portRef COUT (instanceRef un1_SS_1_cry_5_0))
           (portRef CIN (instanceRef un1_SS_1_cry_7_0))
          ))
          (net un1_SS_1_cry_4 (joined
           (portRef COUT (instanceRef un1_SS_1_cry_3_0))
           (portRef CIN (instanceRef un1_SS_1_cry_5_0))
          ))
          (net un1_SS_1_cry_2 (joined
           (portRef COUT (instanceRef un1_SS_1_cry_1_0))
           (portRef CIN (instanceRef un1_SS_1_cry_3_0))
          ))
          (net un1_SS_1_cry_0 (joined
           (portRef COUT (instanceRef un1_SS_1_cry_0_0))
           (portRef CIN (instanceRef un1_SS_1_cry_1_0))
          ))
          (net un1_SS_1_cry_0_0_S0 (joined
           (portRef S0 (instanceRef un1_SS_1_cry_0_0))
          ))
          (net un1_SS_1_cry_0_0_S1 (joined
           (portRef S1 (instanceRef un1_SS_1_cry_0_0))
          ))
          (net un1_data_w_1_cry_14 (joined
           (portRef COUT (instanceRef un1_data_w_1_cry_13_0))
           (portRef CIN (instanceRef un1_data_w_1_s_15_0))
          ))
          (net un1_data_w_1_s_15_0_COUT (joined
           (portRef COUT (instanceRef un1_data_w_1_s_15_0))
          ))
          (net un1_data_w_1_s_15_0_S1 (joined
           (portRef S1 (instanceRef un1_data_w_1_s_15_0))
          ))
          (net un1_data_w_1_cry_12 (joined
           (portRef COUT (instanceRef un1_data_w_1_cry_11_0))
           (portRef CIN (instanceRef un1_data_w_1_cry_13_0))
          ))
          (net un1_data_w_1_cry_10 (joined
           (portRef COUT (instanceRef un1_data_w_1_cry_9_0))
           (portRef CIN (instanceRef un1_data_w_1_cry_11_0))
          ))
          (net un1_data_w_1_cry_8 (joined
           (portRef COUT (instanceRef un1_data_w_1_cry_7_0))
           (portRef CIN (instanceRef un1_data_w_1_cry_9_0))
          ))
          (net un1_data_w_1_cry_6 (joined
           (portRef COUT (instanceRef un1_data_w_1_cry_5_0))
           (portRef CIN (instanceRef un1_data_w_1_cry_7_0))
          ))
          (net un1_data_w_1_cry_4 (joined
           (portRef COUT (instanceRef un1_data_w_1_cry_3_0))
           (portRef CIN (instanceRef un1_data_w_1_cry_5_0))
          ))
          (net un1_data_w_1_cry_2 (joined
           (portRef COUT (instanceRef un1_data_w_1_cry_1_0))
           (portRef CIN (instanceRef un1_data_w_1_cry_3_0))
          ))
          (net un1_data_w_1_cry_0 (joined
           (portRef COUT (instanceRef un1_data_w_1_cry_0_0))
           (portRef CIN (instanceRef un1_data_w_1_cry_1_0))
          ))
          (net un1_data_w_1_cry_0_0_S0 (joined
           (portRef S0 (instanceRef un1_data_w_1_cry_0_0))
          ))
          (net un1_data_w_1_cry_0_0_S1 (joined
           (portRef S1 (instanceRef un1_data_w_1_cry_0_0))
          ))
          (net un1_ea_reg_cry_14 (joined
           (portRef COUT (instanceRef un1_ea_reg_cry_13_0))
           (portRef CIN (instanceRef un1_ea_reg_s_15_0))
          ))
          (net un1_ea_reg_s_15_0_COUT (joined
           (portRef COUT (instanceRef un1_ea_reg_s_15_0))
          ))
          (net un1_ea_reg_s_15_0_S1 (joined
           (portRef S1 (instanceRef un1_ea_reg_s_15_0))
          ))
          (net un1_ea_reg_cry_12 (joined
           (portRef COUT (instanceRef un1_ea_reg_cry_11_0))
           (portRef CIN (instanceRef un1_ea_reg_cry_13_0))
          ))
          (net un1_ea_reg_cry_10 (joined
           (portRef COUT (instanceRef un1_ea_reg_cry_9_0))
           (portRef CIN (instanceRef un1_ea_reg_cry_11_0))
          ))
          (net un1_ea_reg_cry_8 (joined
           (portRef COUT (instanceRef un1_ea_reg_cry_7_0))
           (portRef CIN (instanceRef un1_ea_reg_cry_9_0))
          ))
          (net un1_ea_reg_cry_6 (joined
           (portRef COUT (instanceRef un1_ea_reg_cry_5_0))
           (portRef CIN (instanceRef un1_ea_reg_cry_7_0))
          ))
          (net un1_ea_reg_cry_4 (joined
           (portRef COUT (instanceRef un1_ea_reg_cry_3_0))
           (portRef CIN (instanceRef un1_ea_reg_cry_5_0))
          ))
          (net un1_ea_reg_cry_2 (joined
           (portRef COUT (instanceRef un1_ea_reg_cry_1_0))
           (portRef CIN (instanceRef un1_ea_reg_cry_3_0))
          ))
          (net un1_ea_reg_cry_0 (joined
           (portRef COUT (instanceRef un1_ea_reg_cry_0_0))
           (portRef CIN (instanceRef un1_ea_reg_cry_1_0))
          ))
          (net un1_ea_reg_cry_0_0_S0 (joined
           (portRef S0 (instanceRef un1_ea_reg_cry_0_0))
          ))
          (net un1_ea_reg_cry_0_0_S1 (joined
           (portRef S1 (instanceRef un1_ea_reg_cry_0_0))
          ))
          (net eamem_addr_cry_14 (joined
           (portRef COUT (instanceRef eamem_addr_cry_13_0))
           (portRef CIN (instanceRef eamem_addr_s_15_0))
          ))
          (net eamem_addr_s_15_0_COUT (joined
           (portRef COUT (instanceRef eamem_addr_s_15_0))
          ))
          (net (rename regs_o_eamem_addr_15 "regs_o_eamem_addr[15]") (joined
           (portRef S0 (instanceRef eamem_addr_s_15_0))
           (portRef regs_o_eamem_addr_15)
          ))
          (net eamem_addr_s_15_0_S1 (joined
           (portRef S1 (instanceRef eamem_addr_s_15_0))
          ))
          (net eamem_addr_cry_12 (joined
           (portRef COUT (instanceRef eamem_addr_cry_11_0))
           (portRef CIN (instanceRef eamem_addr_cry_13_0))
          ))
          (net (rename regs_o_eamem_addr_14 "regs_o_eamem_addr[14]") (joined
           (portRef S1 (instanceRef eamem_addr_cry_13_0))
           (portRef regs_o_eamem_addr_14)
          ))
          (net eamem_addr_cry_10 (joined
           (portRef COUT (instanceRef eamem_addr_cry_9_0))
           (portRef CIN (instanceRef eamem_addr_cry_11_0))
          ))
          (net (rename regs_o_eamem_addr_12 "regs_o_eamem_addr[12]") (joined
           (portRef S1 (instanceRef eamem_addr_cry_11_0))
           (portRef regs_o_eamem_addr_12)
          ))
          (net eamem_addr_cry_8 (joined
           (portRef COUT (instanceRef eamem_addr_cry_7_0))
           (portRef CIN (instanceRef eamem_addr_cry_9_0))
          ))
          (net eamem_addr_cry_6 (joined
           (portRef COUT (instanceRef eamem_addr_cry_5_0))
           (portRef CIN (instanceRef eamem_addr_cry_7_0))
          ))
          (net (rename regs_o_eamem_addr_7 "regs_o_eamem_addr[7]") (joined
           (portRef S0 (instanceRef eamem_addr_cry_7_0))
           (portRef regs_o_eamem_addr_7)
          ))
          (net (rename regs_o_eamem_addr_8 "regs_o_eamem_addr[8]") (joined
           (portRef S1 (instanceRef eamem_addr_cry_7_0))
           (portRef regs_o_eamem_addr_8)
          ))
          (net eamem_addr_cry_4 (joined
           (portRef COUT (instanceRef eamem_addr_cry_3_0))
           (portRef CIN (instanceRef eamem_addr_cry_5_0))
          ))
          (net (rename regs_o_eamem_addr_6 "regs_o_eamem_addr[6]") (joined
           (portRef S1 (instanceRef eamem_addr_cry_5_0))
           (portRef D (instanceRef k_new_pc_pipe_39))
           (portRef regs_o_eamem_addr_6)
          ))
          (net eamem_addr_cry_2 (joined
           (portRef COUT (instanceRef eamem_addr_cry_1_0))
           (portRef CIN (instanceRef eamem_addr_cry_3_0))
          ))
          (net (rename regs_o_eamem_addr_3 "regs_o_eamem_addr[3]") (joined
           (portRef S0 (instanceRef eamem_addr_cry_3_0))
           (portRef regs_o_eamem_addr_3)
          ))
          (net (rename regs_o_eamem_addr_4 "regs_o_eamem_addr[4]") (joined
           (portRef S1 (instanceRef eamem_addr_cry_3_0))
           (portRef D (instanceRef k_new_pc_pipe_25))
           (portRef regs_o_eamem_addr_4)
          ))
          (net eamem_addr_cry_0 (joined
           (portRef COUT (instanceRef eamem_addr_cry_0_0))
           (portRef CIN (instanceRef eamem_addr_cry_1_0))
          ))
          (net (rename regs_o_eamem_addr_1 "regs_o_eamem_addr[1]") (joined
           (portRef S0 (instanceRef eamem_addr_cry_1_0))
           (portRef D (instanceRef k_new_pc_pipe_11))
           (portRef regs_o_eamem_addr_1)
          ))
          (net eamem_addr_cry_0_0_S0 (joined
           (portRef S0 (instanceRef eamem_addr_cry_0_0))
          ))
          (net eamem_addr_cry_0_0_S1 (joined
           (portRef S1 (instanceRef eamem_addr_cry_0_0))
          ))
          (net (rename PC_cry_s1_14 "PC_cry_s1[14]") (joined
           (portRef COUT (instanceRef PC_cry_s1_0_13))
           (portRef CIN (instanceRef PC_s_s1_0_15))
          ))
          (net (rename PC_s_s1_0_COUT_15 "PC_s_s1_0_COUT[15]") (joined
           (portRef COUT (instanceRef PC_s_s1_0_15))
          ))
          (net (rename PC_s_s1_0_S1_15 "PC_s_s1_0_S1[15]") (joined
           (portRef S1 (instanceRef PC_s_s1_0_15))
          ))
          (net (rename PC_cry_s1_12 "PC_cry_s1[12]") (joined
           (portRef COUT (instanceRef PC_cry_s1_0_11))
           (portRef CIN (instanceRef PC_cry_s1_0_13))
          ))
          (net (rename PC_cry_s1_10 "PC_cry_s1[10]") (joined
           (portRef COUT (instanceRef PC_cry_s1_0_9))
           (portRef CIN (instanceRef PC_cry_s1_0_11))
          ))
          (net (rename PC_cry_s1_8 "PC_cry_s1[8]") (joined
           (portRef COUT (instanceRef PC_cry_s1_0_7))
           (portRef CIN (instanceRef PC_cry_s1_0_9))
          ))
          (net (rename PC_cry_s1_6 "PC_cry_s1[6]") (joined
           (portRef COUT (instanceRef PC_cry_s1_0_5))
           (portRef CIN (instanceRef PC_cry_s1_0_7))
          ))
          (net (rename PC_cry_s1_4 "PC_cry_s1[4]") (joined
           (portRef COUT (instanceRef PC_cry_s1_0_3))
           (portRef CIN (instanceRef PC_cry_s1_0_5))
          ))
          (net (rename PC_cry_s1_2 "PC_cry_s1[2]") (joined
           (portRef COUT (instanceRef PC_cry_s1_0_1))
           (portRef CIN (instanceRef PC_cry_s1_0_3))
          ))
          (net (rename PC_cry_s1_0 "PC_cry_s1[0]") (joined
           (portRef COUT (instanceRef PC_cry_s1_0_0))
           (portRef CIN (instanceRef PC_cry_s1_0_1))
          ))
          (net (rename PC_cry_s1_0_S0_0 "PC_cry_s1_0_S0[0]") (joined
           (portRef S0 (instanceRef PC_cry_s1_0_0))
          ))
          (net N_1 (joined
           (portRef CIN (instanceRef PC_cry_s1_0_0))
          ))
          (net N_2 (joined
           (portRef CIN (instanceRef eamem_addr_cry_0_0))
          ))
          (net N_3 (joined
           (portRef CIN (instanceRef un1_ea_reg_cry_0_0))
          ))
          (net N_4 (joined
           (portRef CIN (instanceRef un1_data_w_1_cry_0_0))
          ))
          (net N_5 (joined
           (portRef CIN (instanceRef un1_SS_1_cry_0_0))
          ))
         )
        (property orig_inst_of (string "regblock"))
       )
    )
    (cell alu16 (cellType GENERIC)
       (view netlist (viewType NETLIST)
         (interface
           (port sbc8_w_8 (direction OUTPUT))
           (port (array (rename regs_o_ccr_i "regs_o_CCR_i[0:0]") 1) (direction INPUT))
           (port sbc16_w_16 (direction OUTPUT))
           (port add8_w_8 (direction OUTPUT))
           (port (array (rename sub8_w "sub8_w[8:8]") 1) (direction OUTPUT))
           (port (array (rename acca "ACCA[1:1]") 1) (direction INPUT))
           (port (array (rename path_right_addr_4_0_o2_1_rni18u71 "path_right_addr_4_0_o2_1_RNI18U71[0:0]") 1) (direction INPUT))
           (port (array (rename accb "ACCB[1:1]") 1) (direction INPUT))
           (port (array (rename leds_r_cnv "leds_r_cnv[0:0]") 1) (direction OUTPUT))
           (port (array (rename un1_k_memlo "un1_k_memlo[15:8]") 8) (direction OUTPUT))
           (port k_memlof_4 (direction INPUT))
           (port k_memlof_0 (direction INPUT))
           (port (array (rename data_io_c "data_io_c[7:0]") 8) (direction OUTPUT))
           (port (array (rename cpu0_data_out "cpu0_data_out[7:0]") 8) (direction INPUT))
           (port (array (rename next_state "next_state[2:2]") 1) (direction INPUT))
           (port (array (rename reset_cnt_1 "reset_cnt_1[3:1]") 3) (direction OUTPUT))
           (port (array (rename state55_3 "state55_3[0:0]") 1) (direction OUTPUT))
           (port un1_regs_o_pc_4 (direction INPUT))
           (port un1_regs_o_pc_0 (direction INPUT))
           (port un1_regs_o_pc_12 (direction INPUT))
           (port un1_regs_o_pc_11 (direction INPUT))
           (port un1_regs_o_pc_9 (direction INPUT))
           (port un1_regs_o_pc_5 (direction INPUT))
           (port k_new_pc_12 (direction OUTPUT))
           (port k_new_pc_11 (direction OUTPUT))
           (port k_new_pc_9 (direction OUTPUT))
           (port k_new_pc_5 (direction OUTPUT))
           (port k_new_pc_4 (direction OUTPUT))
           (port k_new_pc_0 (direction OUTPUT))
           (port (array (rename path_right_addr_2_f0_0_o2_0 "path_right_addr_2_f0_0_o2_0[3:3]") 1) (direction INPUT))
           (port (array (rename path_right_addr_2_f0_0_a3_1_0 "path_right_addr_2_f0_0_a3_1_0[3:3]") 1) (direction INPUT))
           (port (array (rename path_left_addr_3 "path_left_addr_3[0:0]") 1) (direction INPUT))
           (port (array (rename datamux_o_alu_in_left_path_addr_cnst "datamux_o_alu_in_left_path_addr_cnst[3:3]") 1) (direction INPUT))
           (port (array (rename k_ealo_cnv_0 "k_ealo_cnv_0[0:0]") 1) (direction OUTPUT))
           (port alu_o_result_11 (direction OUTPUT))
           (port (array (rename path_right_addr_4_i_1 "path_right_addr_4_i_1[1:1]") 1) (direction INPUT))
           (port (array (rename dec_o_p1_optype "dec_o_p1_optype[1:0]") 2) (direction INPUT))
           (port (array (rename ix "IX[0:0]") 1) (direction INPUT))
           (port (array (rename datamux_o_alu_in_left_path_addr_1 "datamux_o_alu_in_left_path_addr_1[2:0]") 3) (direction INPUT))
           (port regs_o_right_path_data_4 (direction INPUT))
           (port regs_o_right_path_data_5 (direction INPUT))
           (port regs_o_right_path_data_0 (direction INPUT))
           (port (array (rename un1_state_68 "un1_state_68[0:0]") 1) (direction OUTPUT))
           (port (array (rename next_mem_state_cnv_0 "next_mem_state_cnv_0[0:0]") 1) (direction INPUT))
           (port regs_o_pc_0 (direction INPUT))
           (port regs_o_pc_1 (direction INPUT))
           (port regs_o_pc_8 (direction INPUT))
           (port regs_o_pc_3 (direction INPUT))
           (port regs_o_pc_6 (direction INPUT))
           (port regs_o_pc_4 (direction INPUT))
           (port regs_o_pc_7 (direction INPUT))
           (port regs_o_pc_15 (direction INPUT))
           (port regs_o_pc_14 (direction INPUT))
           (port regs_o_pc_12 (direction INPUT))
           (port (array (rename k_opcode "k_opcode[7:0]") 8) (direction INPUT))
           (port regs_o_su_m_11 (direction OUTPUT))
           (port regs_o_su_m_6 (direction OUTPUT))
           (port regs_o_su_m_0 (direction OUTPUT))
           (port regs_o_su_m_14 (direction OUTPUT))
           (port regs_o_su_m_7 (direction OUTPUT))
           (port regs_o_su_m_2 (direction OUTPUT))
           (port regs_o_su_m_3 (direction OUTPUT))
           (port regs_o_su_m_5 (direction OUTPUT))
           (port regs_o_su_m_13 (direction OUTPUT))
           (port SU_0 (direction INPUT))
           (port SU_12 (direction INPUT))
           (port SU_7 (direction INPUT))
           (port SU_1 (direction INPUT))
           (port SU_15 (direction INPUT))
           (port SU_8 (direction INPUT))
           (port SU_3 (direction INPUT))
           (port SU_4 (direction INPUT))
           (port SU_6 (direction INPUT))
           (port SU_14 (direction INPUT))
           (port SS_0 (direction INPUT))
           (port SS_12 (direction INPUT))
           (port SS_7 (direction INPUT))
           (port SS_1 (direction INPUT))
           (port SS_15 (direction INPUT))
           (port SS_8 (direction INPUT))
           (port SS_3 (direction INPUT))
           (port SS_4 (direction INPUT))
           (port SS_6 (direction INPUT))
           (port SS_14 (direction INPUT))
           (port k_cpu_addr_26_iv_1_0 (direction OUTPUT))
           (port k_cpu_addr_26_iv_1_7 (direction OUTPUT))
           (port k_cpu_addr_26_iv_1_2 (direction OUTPUT))
           (port k_cpu_addr_26_iv_1_5 (direction OUTPUT))
           (port k_cpu_addr_26_iv_1_3 (direction OUTPUT))
           (port k_cpu_addr_26_iv_1_6 (direction OUTPUT))
           (port k_cpu_addr_26_iv_1_14 (direction OUTPUT))
           (port k_cpu_addr_26_iv_1_13 (direction OUTPUT))
           (port k_cpu_addr_26_iv_1_11 (direction OUTPUT))
           (port (array (rename k_memlo "k_memlo[7:0]") 8) (direction INPUT))
           (port (array (rename datamux_o_alu_in_left_path_addr_1_rni208s7 "datamux_o_alu_in_left_path_addr_1_RNI208S7[1:1]") 1) (direction INPUT))
           (port datamux_o_dest_15 (direction OUTPUT))
           (port datamux_o_dest_14 (direction OUTPUT))
           (port datamux_o_dest_13 (direction OUTPUT))
           (port datamux_o_dest_12 (direction OUTPUT))
           (port datamux_o_dest_10 (direction OUTPUT))
           (port datamux_o_dest_9 (direction OUTPUT))
           (port datamux_o_dest_8 (direction OUTPUT))
           (port datamux_o_dest_7 (direction OUTPUT))
           (port datamux_o_dest_5 (direction OUTPUT))
           (port datamux_o_dest_4 (direction OUTPUT))
           (port datamux_o_dest_3 (direction OUTPUT))
           (port datamux_o_dest_2 (direction OUTPUT))
           (port datamux_o_dest_1 (direction OUTPUT))
           (port datamux_o_dest_6 (direction OUTPUT))
           (port datamux_o_dest_0 (direction OUTPUT))
           (port (array (rename k_pp_regs_27 "k_pp_regs_27[7:0]") 8) (direction OUTPUT))
           (port (array (rename cpu0_data_in "cpu0_data_in[7:0]") 8) (direction INPUT))
           (port mul16_w_4 (direction OUTPUT))
           (port regs_o_eamem_addr_0 (direction INPUT))
           (port regs_o_eamem_addr_13 (direction INPUT))
           (port regs_o_eamem_addr_10 (direction INPUT))
           (port regs_o_eamem_addr_9 (direction INPUT))
           (port regs_o_eamem_addr_5 (direction INPUT))
           (port regs_o_eamem_addr_2 (direction INPUT))
           (port regs_o_eamem_addr_1 (direction INPUT))
           (port regs_o_eamem_addr_6 (direction INPUT))
           (port regs_o_eamem_addr_4 (direction INPUT))
           (port regs_o_eamem_addr_7 (direction INPUT))
           (port regs_o_eamem_addr_8 (direction INPUT))
           (port regs_o_eamem_addr_12 (direction INPUT))
           (port regs_o_eamem_addr_14 (direction INPUT))
           (port regs_o_eamem_addr_15 (direction INPUT))
           (port regs_o_eamem_addr_3 (direction INPUT))
           (port k_memhif_1 (direction OUTPUT))
           (port k_memhif_2 (direction OUTPUT))
           (port k_memhif_3 (direction OUTPUT))
           (port k_memhif_5 (direction OUTPUT))
           (port (array (rename k_memhi "k_memhi[7:0]") 8) (direction INPUT))
           (port regs_o_dp_1 (direction INPUT))
           (port regs_o_dp_4 (direction INPUT))
           (port regs_o_dp_6 (direction INPUT))
           (port regs_o_dp_7 (direction INPUT))
           (port regs_o_dp_0 (direction INPUT))
           (port (array (rename dec_o_p1_modef "dec_o_p1_modef[2:1]") 2) (direction OUTPUT))
           (port (array (rename dec_o_p1_mode "dec_o_p1_mode[2:0]") 3) (direction INPUT))
           (port k_ealo_0 (direction INPUT))
           (port k_ealo_5 (direction INPUT))
           (port k_ealo_3 (direction INPUT))
           (port k_ealo_2 (direction INPUT))
           (port k_ealo_6 (direction INPUT))
           (port k_eahi_0 (direction INPUT))
           (port k_eahi_4 (direction INPUT))
           (port k_eahi_6 (direction INPUT))
           (port k_eahi_7 (direction INPUT))
           (port datamux_o_alu_in_left_path_data_15 (direction OUTPUT))
           (port datamux_o_alu_in_left_path_data_7 (direction OUTPUT))
           (port datamux_o_alu_in_left_path_data_0 (direction OUTPUT))
           (port regs_o_CCR_0 (direction INPUT))
           (port regs_o_CCR_5 (direction INPUT))
           (port regs_o_CCR_3 (direction INPUT))
           (port regs_o_CCR_2 (direction INPUT))
           (port regs_o_CCR_1 (direction INPUT))
           (port dec_o_right_path_addr_3 (direction INPUT))
           (port dec_o_right_path_addr_0 (direction INPUT))
           (port next_state_10_0 (direction OUTPUT))
           (port next_state_10_5 (direction OUTPUT))
           (port next_state_10_4 (direction OUTPUT))
           (port (array (rename k_cpu_data_o_7 "k_cpu_data_o_7[7:0]") 8) (direction OUTPUT))
           (port regs_o_left_path_data_6 (direction INPUT))
           (port regs_o_left_path_data_4 (direction INPUT))
           (port regs_o_left_path_data_3 (direction INPUT))
           (port regs_o_left_path_data_2 (direction INPUT))
           (port regs_o_left_path_data_1 (direction INPUT))
           (port regs_o_left_path_data_0 (direction INPUT))
           (port regs_o_left_path_data_5 (direction INPUT))
           (port regs_o_left_path_data_8 (direction INPUT))
           (port regs_o_left_path_data_9 (direction INPUT))
           (port regs_o_left_path_data_10 (direction INPUT))
           (port regs_o_left_path_data_11 (direction INPUT))
           (port regs_o_left_path_data_12 (direction INPUT))
           (port regs_o_left_path_data_14 (direction INPUT))
           (port neg16_w_4 (direction OUTPUT))
           (port neg16_w_5 (direction OUTPUT))
           (port neg16_w_2 (direction OUTPUT))
           (port neg16_w_3 (direction OUTPUT))
           (port neg16_w_1 (direction OUTPUT))
           (port neg16_w_6 (direction OUTPUT))
           (port neg16_w_0 (direction OUTPUT))
           (port (array (rename alu_opcode_1_f0_1 "alu_opcode_1_f0_1[0:0]") 1) (direction INPUT))
           (port (array (rename alu_opcode_1_f0_1_0 "alu_opcode_1_f0_1_0[0:0]") 1) (direction INPUT))
           (port (array (rename dec_o_alu_opcode "dec_o_alu_opcode[4:0]") 5) (direction INPUT))
           (port alu_o_CCR_4 (direction OUTPUT))
           (port alu_o_CCR_2 (direction OUTPUT))
           (port alu_o_CCR_0 (direction OUTPUT))
           (port alu_o_CCR_1 (direction OUTPUT))
           (port datamux_o_destf_4 (direction INPUT))
           (port datamux_o_destf_2 (direction INPUT))
           (port datamux_o_destf_0 (direction INPUT))
           (port datamux_o_destf_1 (direction INPUT))
           (port (array (rename dec_o_dest_reg_addr "dec_o_dest_reg_addr[3:3]") 1) (direction INPUT))
           (port (array (rename next_push_state_cnv_0 "next_push_state_cnv_0[0:0]") 1) (direction OUTPUT))
           (port (array (rename state54 "state54[0:0]") 1) (direction INPUT))
           (port (array (rename reset_cnt "reset_cnt[3:0]") 4) (direction INPUT))
           (port (array (rename k_pp_regs "k_pp_regs[7:0]") 8) (direction INPUT))
           (port (array (rename next_push_state "next_push_state[1:1]") 1) (direction INPUT))
           (port (array (rename state_o_c "state_o_c[5:0]") 6) (direction INPUT))
           (port k_pp_regs_27_sn_m7_1 (direction INPUT))
           (port datamux_o_alu_in_left_path_data6_x (direction INPUT))
           (port N_280 (direction INPUT))
           (port N_248 (direction INPUT))
           (port N_174 (direction OUTPUT))
           (port N_318_0 (direction INPUT))
           (port N_268 (direction INPUT))
           (port un1_dest_reg_2_sqmuxa_1_0 (direction INPUT))
           (port N_298 (direction INPUT))
           (port N_295 (direction INPUT))
           (port N_121 (direction INPUT))
           (port N_289 (direction INPUT))
           (port N_72 (direction INPUT))
           (port un1_dest_reg_2_sqmuxa_1_1_0_2 (direction INPUT))
           (port un1_state_49_1 (direction INPUT))
           (port un1_cen_o_0 (direction OUTPUT))
           (port k_opcode_6_rep1 (direction INPUT))
           (port k_opcode_3_rep1 (direction INPUT))
           (port un1_state_60_3 (direction OUTPUT))
           (port k_opcode_7_rep1 (direction INPUT))
           (port k_opcode_2_rep2 (direction INPUT))
           (port N_135 (direction INPUT))
           (port N_61 (direction INPUT))
           (port un1_state_40 (direction OUTPUT))
           (port N_1237_1 (direction INPUT))
           (port un1_state_25 (direction OUTPUT))
           (port k_clear_e_3_2 (direction INPUT))
           (port cneg16_r_13 (direction OUTPUT))
           (port N_144 (direction INPUT))
           (port N_1723_4 (direction INPUT))
           (port k_opcode_0_rep1 (direction INPUT))
           (port N_1532_i (direction OUTPUT))
           (port N_1627_i (direction OUTPUT))
           (port N_1646_i (direction OUTPUT))
           (port un1_state_40_2 (direction INPUT))
           (port N_1243_2 (direction INPUT))
           (port N_1239_1 (direction INPUT))
           (port un1_state_76 (direction OUTPUT))
           (port un1_state_82_4 (direction INPUT))
           (port un1_state_77 (direction OUTPUT))
           (port un1_state_35 (direction INPUT))
           (port k_cpu_oe_3 (direction OUTPUT))
           (port un1_state_39_0 (direction INPUT))
           (port un1_state_75_RNID6VJ2 (direction OUTPUT))
           (port state_1_sqmuxa_8 (direction INPUT))
           (port un1_state_61_3 (direction INPUT))
           (port un1_datamux_o_alu_in_left_path_addr30_1_1 (direction INPUT))
           (port datamux_o_alu_in_left_path_addr24 (direction INPUT))
           (port un1_state_97_RNINJB31 (direction OUTPUT))
           (port datamux_o_alu_in_right_path_data29_1 (direction OUTPUT))
           (port N_19_m (direction INPUT))
           (port un1_k_pp_regs_1_sqmuxa (direction INPUT))
           (port un1_dec_o_ea_ofs8_1 (direction INPUT))
           (port N_243 (direction INPUT))
           (port N_244 (direction INPUT))
           (port N_242 (direction INPUT))
           (port N_265 (direction INPUT))
           (port N_263 (direction INPUT))
           (port N_264 (direction INPUT))
           (port state_2_sqmuxa_6 (direction INPUT))
           (port N_1470_i (direction OUTPUT))
           (port un75 (direction INPUT))
           (port N_315_0 (direction INPUT))
           (port N_313_0 (direction INPUT))
           (port N_383 (direction INPUT))
           (port N_382 (direction INPUT))
           (port N_386_0 (direction INPUT))
           (port N_231 (direction INPUT))
           (port N_116_i_0 (direction OUTPUT))
           (port N_381 (direction INPUT))
           (port N_98 (direction INPUT))
           (port N_1968 (direction INPUT))
           (port N_177 (direction INPUT))
           (port N_301 (direction INPUT))
           (port N_302 (direction INPUT))
           (port N_300 (direction INPUT))
           (port N_327 (direction INPUT))
           (port N_296 (direction INPUT))
           (port N_1167 (direction INPUT))
           (port N_1184 (direction INPUT))
           (port state82 (direction INPUT))
           (port k_cpu_addr_4_sqmuxa (direction INPUT))
           (port N_1792 (direction INPUT))
           (port N_1771_i (direction OUTPUT))
           (port state78 (direction INPUT))
           (port state79 (direction INPUT))
           (port N_1776 (direction OUTPUT))
           (port un1_state_74_2 (direction INPUT))
           (port N_1737 (direction OUTPUT))
           (port un1_state_74_1 (direction INPUT))
           (port un1_state_41 (direction INPUT))
           (port N_1796_1 (direction INPUT))
           (port k_ofshi_1_sqmuxa (direction INPUT))
           (port un1_state_42 (direction OUTPUT))
           (port N_818_2 (direction INPUT))
           (port un1_k_cpu_addr_2_sqmuxa_1 (direction OUTPUT))
           (port un1_k_cpu_addr_2_sqmuxa (direction INPUT))
           (port un1_state_83 (direction OUTPUT))
           (port N_44 (direction OUTPUT))
           (port state83 (direction INPUT))
           (port state81 (direction INPUT))
           (port un1_k_cpu_addr_3_sqmuxa (direction INPUT))
           (port use_s_1 (direction INPUT))
           (port un1_k_cpu_addr_1_sqmuxa (direction OUTPUT))
           (port N_358 (direction INPUT))
           (port N_1960 (direction OUTPUT))
           (port k_memhi_0_sqmuxa_RNI76MN (direction OUTPUT))
           (port N_1753_1 (direction INPUT))
           (port N_351_0 (direction INPUT))
           (port N_1855 (direction OUTPUT))
           (port next_state14 (direction INPUT))
           (port k_cpu_data_o_7_sn_N_2 (direction INPUT))
           (port N_352_0 (direction INPUT))
           (port N_167 (direction INPUT))
           (port un3_daa8h_r_ac0_5 (direction OUTPUT))
           (port next_mem_state_1_sqmuxa_RNINPLR4 (direction OUTPUT))
           (port k_pp_regs59 (direction INPUT))
           (port un1_k_pp_regs_6_sqmuxa (direction INPUT))
           (port un1_k_pp_regs_3_sqmuxa (direction INPUT))
           (port un1_k_pp_regs_2_sqmuxa (direction INPUT))
           (port k_pp_regs_27_sn_N_8 (direction INPUT))
           (port N_1413 (direction OUTPUT))
           (port N_1451 (direction INPUT))
           (port un1_k_pp_regs_7_sqmuxa (direction INPUT))
           (port k_clear_e_3 (direction OUTPUT))
           (port N_1765_i (direction INPUT))
           (port CCRo_sn_N_2 (direction OUTPUT))
           (port N_1950_2 (direction INPUT))
           (port k_new_pc_17_sn_N_6_muxf (direction OUTPUT))
           (port k_new_pc_17_sn_N_6_mux (direction INPUT))
           (port dec_o_ea_indirectf (direction OUTPUT))
           (port dec_o_ea_indirect (direction INPUT))
           (port state85 (direction INPUT))
           (port un1_state80_RNITTHJ (direction INPUT))
           (port N_47_i (direction INPUT))
           (port cpu_clk_i (direction INPUT))
           (port cpu_clkgen (direction INPUT))
           (port cff_1_sqmuxa_1_RNIJDPB1 (direction INPUT))
           (port N_350 (direction INPUT))
           (port N_349 (direction INPUT))
           (port N_333 (direction INPUT))
           (port N_332 (direction INPUT))
           (port datamux_N_5 (direction OUTPUT))
           (port N_331 (direction INPUT))
           (port N_345 (direction INPUT))
           (port cpu_reset_i_4_i (direction OUTPUT))
           (port un1_cen_o_i (direction OUTPUT))
           (port cpu0_oe (direction INPUT))
           (port cpu0_we (direction INPUT))
           (port datamux_o_alu_in_left_path_data6 (direction INPUT))
           (port k_opcode_1_rep1 (direction INPUT))
           (port k_opcode_3_rep2 (direction INPUT))
           (port N_106 (direction INPUT))
           (port N_180_1 (direction INPUT))
           (port N_1993 (direction INPUT))
           (port N_23 (direction INPUT))
           (port un1_dec_o_write_flagsf (direction INPUT))
           (port un1_state_34 (direction INPUT))
           (port un3_cpu_reset_RNIAR2G3 (direction OUTPUT))
           (port k_cpu_we_3 (direction OUTPUT))
           (port un1_state_57_2 (direction INPUT))
           (port un1_state_62 (direction INPUT))
           (port un1_state_33 (direction INPUT))
           (port N_21_i (direction OUTPUT))
           (port un1_state_73 (direction OUTPUT))
           (port state_2_sqmuxa_5 (direction OUTPUT))
           (port N_1436 (direction INPUT))
           (port state160 (direction INPUT))
           (port un1_state_84 (direction OUTPUT))
           (port un1_state_53 (direction INPUT))
           (port k_dec_su_3 (direction OUTPUT))
           (port un3_cpu_reset_RNI88DC1 (direction OUTPUT))
           (port N_791 (direction INPUT))
           (port un1_state_32_RNI40GE (direction OUTPUT))
           (port cpu_reset_i_4 (direction OUTPUT))
           (port cpu_clk (direction INPUT))
           (port un1_state_59_1 (direction INPUT))
           (port un1_state_19 (direction OUTPUT))
           (port un1_state_18_sn (direction OUTPUT))
           (port un1_state_21 (direction OUTPUT))
           (port un1_state_38 (direction OUTPUT))
           (port N_808_2 (direction INPUT))
           (port un1_state_43 (direction OUTPUT))
           (port N_721 (direction INPUT))
           (port N_778_1 (direction INPUT))
           (port N_766_2 (direction INPUT))
           (port N_1245_2 (direction INPUT))
           (port N_725 (direction INPUT))
           (port un1_state_57_0 (direction OUTPUT))
           (port N_1262_1 (direction INPUT))
           (port next_mem_state_8s2_0_411_i_0 (direction OUTPUT))
           (port un1_state_24_0 (direction OUTPUT))
           (port un1_state_20_0 (direction OUTPUT))
           (port N_1260_1 (direction INPUT))
           (port k_pp_regs_3_sqmuxa_1_0_0 (direction OUTPUT))
         )
         (contents
          (instance state_23_0_iv_352_a7_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C !A)"))
          )
          (instance state_23_0_iv_352_a7_1_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (B !A)))"))
          )
          (instance state_23_0_iv_352_a7_4_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (B !A)))"))
          )
          (instance k_pp_regs_3_sqmuxa_1_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (!B A)))"))
          )
          (instance un1_state_20_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A))"))
          )
          (instance un1_state_24_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (C (!B !A)))"))
          )
          (instance next_mem_state_8s2_0_411_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B+A)+C A)"))
          )
          (instance un1_state_23_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A))"))
          )
          (instance k_cpu_oe_3_2_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A)))"))
          )
          (instance un1_state_57_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A)))"))
          )
          (instance un1_state_30_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A)))"))
          )
          (instance un1_state_87_1_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!B !A)+D (!C (!B !A)))"))
          )
          (instance un1_state_87_1_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B !A)))"))
          )
          (instance k_cpu_oe_3_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (B A)))"))
          )
          (instance un1_state_97_4_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (B A)))"))
          )
          (instance un1_state_43 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (!B A)))"))
          )
          (instance un1_state_38 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A)))"))
          )
          (instance un1_state_60 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(C (B A))"))
          )
          (instance un1_state_21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (C (B A)))"))
          )
          (instance un1_state_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A)))"))
          )
          (instance un1_state_19_RNISSVR (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D !A+D (!C !A+C (!B !A)))"))
          )
          (instance un1_state_32_RNI40GE (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(C (!B A))"))
          )
          (instance un3_cpu_reset_RNI88DC1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(C (!B A))"))
          )
          (instance k_cpu_we_3_1_tz_RNINPF01 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A))"))
          )
          (instance un1_state_84 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B+!A)+C B)+D B)"))
          )
          (instance state_2_sqmuxa_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (!B !A)))"))
          )
          (instance un1_state_73 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (C (B+A)))"))
          )
          (instance N_21_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D !A+D (!C !A+C (!B !A)))"))
          )
          (instance un3_cpu_reset_RNIU5Q42 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (B+!A)))"))
          )
          (instance un1_state_97_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C+(B A))+D (B A))"))
          )
          (instance k_cpu_we_3_1_tz_RNIKIKA3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (B+A)+D (C+(B+A)))"))
          )
          (instance un3_cpu_reset_RNIAR2G3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(C (!B A))"))
          )
          (instance next_state_10_2_766_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B+A)+C A)"))
          )
          (instance zff_pipe_4_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A+C (!B+A))+D (C+(B+A)))"))
          )
          (instance zff_pipe_5_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))"))
          )
          (instance vff_pipe_23_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (!B !A))+D (!C (B !A)+C !A))"))
          )
          (instance nff_pipe_5_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))"))
          )
          (instance nff_pipe_4_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A+C (!B+A))+D (C+(B+A)))"))
          )
          (instance vff_pipe_4_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A+C (!B+A))+D (C+(B+A)))"))
          )
          (instance vff_pipe_5_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))"))
          )
          (instance hflag_pipe_4_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A+C (!B+A))+D (C+(B+A)))"))
          )
          (instance hflag_pipe_5_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))"))
          )
          (instance CCRo18_3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (B A))+D A)"))
          )
          (instance (rename q16_21_0_0 "q16_21_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C !A+C (!B !A)))"))
          )
          (instance mul16_w_madd_4_cry_1_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A))"))
          )
          (instance vff_pipe_25_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C A))"))
          )
          (instance regq16_pipe_6_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A+C (!B A)))"))
          )
          (instance regq16_pipe_178_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (B A))+D A)"))
          )
          (instance regq16_pipe_154_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (B A))+D A)"))
          )
          (instance regq16_pipe_95_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A+C (!B A)))"))
          )
          (instance regq16_pipe_147_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A+C (!B A)))"))
          )
          (instance sub16_w_cry_5_0_RNI6J4FC (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A+C (!B A)))"))
          )
          (instance (rename q16_RNO_3_5 "q16_RNO_3[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D+(!C !A+C (B+!A)))"))
          )
          (instance (rename q16_RNO_5 "q16_RNO[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (C (B A)))"))
          )
          (instance k_pp_regs_0_sqmuxa_2_1_RNI70H31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (C (!B A)))"))
          )
          (instance regq8_pipe_83_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (!B+!A)+C (B A))+D (B A))"))
          )
          (instance regq16_pipe_172_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (!B+!A)+C (B A))+D (B A))"))
          )
          (instance regq16_pipe_158_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A+C (!B A)))"))
          )
          (instance regq16_pipe_17_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A+C (!B A)))"))
          )
          (instance regq16_pipe_28_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A+C (!B A)))"))
          )
          (instance regq16_pipe_39_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A+C (!B A)))"))
          )
          (instance regq16_pipe_50_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A+C (!B A)))"))
          )
          (instance regq16_pipe_61_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A+C (!B A)))"))
          )
          (instance regq16_pipe_72_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A+C (!B A)))"))
          )
          (instance regq16_pipe_83_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A+C (!B A)))"))
          )
          (instance regq16_pipe_136_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A+C (!B A)))"))
          )
          (instance regq16_pipe_125_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A+C (!B A)))"))
          )
          (instance regq16_pipe_103_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A+C (!B A)))"))
          )
          (instance (rename k_cpu_data_o_7_0_RNIP3A51_7 "k_cpu_data_o_7_0_RNIP3A51[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A+C B)+D B)"))
          )
          (instance (rename k_cpu_data_o_7_0_RNILV951_5 "k_cpu_data_o_7_0_RNILV951[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A+C B)+D B)"))
          )
          (instance (rename k_cpu_data_o_7_0_RNIJT951_4 "k_cpu_data_o_7_0_RNIJT951[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A+C B)+D B)"))
          )
          (instance (rename k_cpu_data_o_7_0_RNIHR951_3 "k_cpu_data_o_7_0_RNIHR951[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A+C B)+D B)"))
          )
          (instance (rename k_cpu_data_o_7_0_RNIFP951_2 "k_cpu_data_o_7_0_RNIFP951[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A+C B)+D B)"))
          )
          (instance (rename k_cpu_data_o_7_0_RNI6EQ81_1 "k_cpu_data_o_7_0_RNI6EQ81[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A+C B)+D B)"))
          )
          (instance un1_next_state_3_sqmuxa_RNIIB6Q (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (!B+A)+C (!B !A))+D (!B+A))"))
          )
          (instance un1_cen_o_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!B !A)"))
          )
          (instance cpu_reset_i_4_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D+(!C+(!B+!A)))"))
          )
          (instance regq8_pipe_73_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B+!A)+C (B !A))"))
          )
          (instance regq8_pipe_62_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C+(B+!A))+D (!C (!B !A)))"))
          )
          (instance regq8_pipe_51_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (!B !A)+C (B+!A))+D C)"))
          )
          (instance regq8_pipe_40_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C+(B+!A))+D (!C (!B !A)))"))
          )
          (instance regq8_pipe_29_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B+!A)+C (B !A))"))
          )
          (instance regq8_pipe_18_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B+!A)+C (B !A))"))
          )
          (instance z16_2_9 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance z16_2_9_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (!B !A)))"))
          )
          (instance z16_2_9_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (!B !A)))"))
          )
          (instance zff_pipe_9 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance zff_pipe_7 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance zff_pipe_5 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance zff_pipe_4 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance zff_pipe_2 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance vff_pipe_9 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance vff_pipe_7 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance vff_pipe_68 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance vff_pipe_65 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance vff_pipe_57 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance vff_pipe_55 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance vff_pipe_5 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance vff_pipe_49 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance vff_pipe_47 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance vff_pipe_41 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance vff_pipe_4 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance vff_pipe_31 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance vff_pipe_27 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance vff_pipe_25 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance vff_pipe_23 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance vff_pipe_22 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance vff_pipe_2 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance vff_pipe_17 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance vff_pipe_15 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance vff_pipe_14 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance vff_pipe_13 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance vff_pipe_11 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance vff_pipe_10 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance vff_pipe_1 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance vff_pipe_0 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance vff_pipe (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq8_pipe_90 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq8_pipe_9 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq8_pipe_88 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq8_pipe_86 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq8_pipe_84 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq8_pipe_83 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq8_pipe_81 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq8_pipe_8 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq8_pipe_77 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq8_pipe_75 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq8_pipe_73 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq8_pipe_70 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq8_pipe_7 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq8_pipe_68 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq8_pipe_65 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq8_pipe_64 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq8_pipe_62 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq8_pipe_61 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq8_pipe_6 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq8_pipe_59 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq8_pipe_57 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq8_pipe_55 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq8_pipe_53 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq8_pipe_51 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq8_pipe_50 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq8_pipe_48 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq8_pipe_46 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq8_pipe_44 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq8_pipe_42 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq8_pipe_40 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq8_pipe_4 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq8_pipe_39 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq8_pipe_37 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq8_pipe_35 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq8_pipe_33 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq8_pipe_31 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq8_pipe_29 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq8_pipe_28 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq8_pipe_26 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq8_pipe_24 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq8_pipe_22 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq8_pipe_20 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq8_pipe_2 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq8_pipe_18 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq8_pipe_17 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq8_pipe_15 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq8_pipe_13 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq8_pipe_129 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq8_pipe_127 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq8_pipe_122 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq8_pipe_12 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq8_pipe_119 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq8_pipe_118 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq8_pipe_116 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq8_pipe_112 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq8_pipe_110 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq8_pipe_11 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq8_pipe_107 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq8_pipe_100 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq8_pipe_1 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq8_pipe (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_99 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_97 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_95 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_94 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_92 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_90 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_9 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_88 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_86 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_85 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_83 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_81 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_8 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_79 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_77 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_75 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_74 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_72 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_70 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_7 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_68 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_66 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_64 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_63 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_61 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_6 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_59 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_57 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_55 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_53 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_52 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_50 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_48 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_46 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_44 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_42 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_41 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_4 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_39 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_37 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_35 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_33 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_31 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_30 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_28 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_26 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_24 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_22 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_20 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_2 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_19 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_185 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_183 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_178 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_174 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_172 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_171 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_17 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_169 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_167 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_165 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_163 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_161 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_160 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_158 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_156 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_154 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_152 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_15 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_149 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_147 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_145 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_143 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_141 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_14 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_138 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_136 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_134 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_132 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_130 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_13 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_127 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_125 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_123 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_121 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_119 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_116 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_114 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_112 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_110 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_11 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_108 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_105 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_103 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_102 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_101 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe_1 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance regq16_pipe (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance reg_z_in (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance reg_n_in (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance nff_pipe_9 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance nff_pipe_8 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance nff_pipe_7 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance nff_pipe_6 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance nff_pipe_5 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance nff_pipe_4 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance nff_pipe_2 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance nff_pipe_10 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_73 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_71 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_7 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_69 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_68 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_67 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_66 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_58 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_52 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_49 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_46 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_44 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_40 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_4 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_39 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_33 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_32 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_28 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_26 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_25 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_21 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_19 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_18 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_14 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_13 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_11 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_10 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_1 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance hflag_pipe_6 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance hflag_pipe_5 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance hflag_pipe_4 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance hflag_pipe_3 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance hflag_pipe_2 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename q8_14_7 "q8_14[7]") (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT)))          )
          (instance (rename q8_14_6 "q8_14[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q8_14_5 "q8_14[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q8_14_2 "q8_14[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q8_14_3 "q8_14[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q8_7_am_7 "q8_7_am[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C A)+D (!C B))"))
          )
          (instance (rename q8_7_7 "q8_7[7]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename q8_7_6 "q8_7[6]") (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT)))          )
          (instance (rename q8_7_5 "q8_7[5]") (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT)))          )
          (instance (rename q8_7_2 "q8_7[2]") (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT)))          )
          (instance (rename q8_7_3 "q8_7[3]") (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT)))          )
          (instance (rename q8_6_am_6 "q8_6_am[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C B+C !B)+D A)"))
          )
          (instance (rename q8_6_bm_6 "q8_6_bm[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename q8_6_6 "q8_6[6]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename q8_6_am_5 "q8_6_am[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C B+C !B)+D A)"))
          )
          (instance (rename q8_6_bm_5 "q8_6_bm[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D C+D (!B A+B !A))"))
          )
          (instance (rename q8_6_5 "q8_6[5]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename q16_14 "q16[14]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A)+D (!C A+C B))"))
          )
          (instance (rename q8_6_am_2 "q8_6_am[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C B+C !B)+D A)"))
          )
          (instance (rename q8_6_bm_2 "q8_6_bm[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename q8_6_2 "q8_6[2]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename q8_6_am_3 "q8_6_am[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C B+C !B)+D A)"))
          )
          (instance (rename q8_6_bm_3 "q8_6_bm[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename q8_6_3 "q8_6[3]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename q16_5 "q16[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (!B+A))"))
          )
          (instance (rename q16_13 "q16[13]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A)+D (!C A+C B))"))
          )
          (instance (rename q16_12 "q16[12]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A)+D (!C A+C B))"))
          )
          (instance (rename q16_11 "q16[11]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A)+D (!C A+C B))"))
          )
          (instance (rename q16_10 "q16[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A)+D (!C A+C B))"))
          )
          (instance (rename q16_9 "q16[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A)+D (!C A+C B))"))
          )
          (instance (rename q16_7 "q16[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A)+D (!C A+C B))"))
          )
          (instance (rename q16_8 "q16[8]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A)+D (!C A+C B))"))
          )
          (instance (rename q16_12_2 "q16_12[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A)+D B)"))
          )
          (instance (rename q16_12_am_0 "q16_12_am[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (!B !A+B A)))"))
          )
          (instance (rename q16_12_bm_0 "q16_12_bm[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q16_12_0 "q16_12[0]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename q8_14_4 "q8_14[4]") (viewRef PRIM (cellRef L6MUX21 (libraryRef LUCENT)))          )
          (instance (rename q16_12_am_6 "q16_12_am[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C B)+D A)"))
          )
          (instance (rename q16_12_bm_6 "q16_12_bm[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B A)"))
          )
          (instance (rename q16_12_6 "q16_12[6]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename daa8h_r_1_3 "daa8h_r_1[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A)+C A)+D (!C (!B+!A)+C !A))"))
          )
          (instance (rename daa8h_r_1_2 "daa8h_r_1[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+!A))"))
          )
          (instance (rename q16_12_d_0_14 "q16_12_d_0[14]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B !A)+C (B+A))+D (B !A))"))
          )
          (instance (rename q16_RNO_2_5 "q16_RNO_2[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C B)+D (!C !A))"))
          )
          (instance (rename q16_RNO_1_5 "q16_RNO_1[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C (!B A))"))
          )
          (instance (rename q16_RNO_0_5 "q16_RNO_0[5]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename k_pp_regs_27_am_0 "k_pp_regs_27_am[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C !B+C (!B A))+D !B)"))
          )
          (instance (rename k_pp_regs_27_bm_0 "k_pp_regs_27_bm[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C (B !A))"))
          )
          (instance (rename k_pp_regs_27_0 "k_pp_regs_27[0]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename q16_11_am_2 "q16_11_am[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A)+D B)"))
          )
          (instance (rename q16_11_bm_2 "q16_11_bm[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C B)+D !A)"))
          )
          (instance (rename q16_11_2 "q16_11[2]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance state_23_0_iv_352 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C+(B A))+D (C+B))"))
          )
          (instance vneg16_r (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (C (B A)))"))
          )
          (instance v8_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C A)+D (C B))"))
          )
          (instance (rename k_pp_regs_27_am_7 "k_pp_regs_27_am[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B+!A)"))
          )
          (instance (rename k_pp_regs_27_bm_7 "k_pp_regs_27_bm[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C B+C (B !A))+D B)"))
          )
          (instance (rename k_pp_regs_27_7 "k_pp_regs_27[7]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename k_pp_regs_27_am_6 "k_pp_regs_27_am[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C A)"))
          )
          (instance (rename k_pp_regs_27_6 "k_pp_regs_27[6]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename k_pp_regs_27_am_5 "k_pp_regs_27_am[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C A)"))
          )
          (instance (rename k_pp_regs_27_bm_5 "k_pp_regs_27_bm[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D B+D (!C B+C (B !A)))"))
          )
          (instance (rename k_pp_regs_27_5 "k_pp_regs_27[5]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename k_pp_regs_27_am_4 "k_pp_regs_27_am[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C A)"))
          )
          (instance (rename k_pp_regs_27_bm_4 "k_pp_regs_27_bm[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C (B !A))"))
          )
          (instance (rename k_pp_regs_27_4 "k_pp_regs_27[4]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename k_pp_regs_27_am_3 "k_pp_regs_27_am[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C A)"))
          )
          (instance (rename k_pp_regs_27_bm_3 "k_pp_regs_27_bm[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C B)"))
          )
          (instance (rename k_pp_regs_27_3 "k_pp_regs_27[3]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename k_pp_regs_27_am_2 "k_pp_regs_27_am[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C A)"))
          )
          (instance (rename k_pp_regs_27_2 "k_pp_regs_27[2]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename k_pp_regs_27_am_1 "k_pp_regs_27_am[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C A)"))
          )
          (instance (rename k_pp_regs_27_bm_1 "k_pp_regs_27_bm[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!B A)"))
          )
          (instance (rename k_pp_regs_27_1 "k_pp_regs_27[1]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename q16_12_3 "q16_12[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A)+D B)"))
          )
          (instance (rename daa8l_r_1_2 "daa8l_r_1[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C B+C (B A))+D (!B !A+B A))"))
          )
          (instance (rename q16_12_4 "q16_12[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A)+D B)"))
          )
          (instance (rename daa8l_r_1_3 "daa8l_r_1[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (!B !A))+D (!C (B+A)+C (!B !A)))"))
          )
          (instance (rename q8_7_am_4 "q8_7_am[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C A)+D (!C B+C A))"))
          )
          (instance (rename q8_7_4 "q8_7[4]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename q16_12_d_13 "q16_12_d[13]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C B)+D A)"))
          )
          (instance (rename q16_12_d_12 "q16_12_d[12]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C B)+D A)"))
          )
          (instance (rename q16_12_d_11 "q16_12_d[11]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C B)+D A)"))
          )
          (instance (rename q16_12_d_10 "q16_12_d[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C B)+D A)"))
          )
          (instance (rename q16_12_d_9 "q16_12_d[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C B)+D A)"))
          )
          (instance (rename q16_12_d_7 "q16_12_d[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C B)+D A)"))
          )
          (instance (rename q16_12_d_8 "q16_12_d[8]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C B)+D A)"))
          )
          (instance (rename daa8l_r_1_1 "daa8l_r_1[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A+C (B !A))+D !A)"))
          )
          (instance (rename q16_25_am_15 "q16_25_am[15]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!B A)"))
          )
          (instance (rename q16_25_bm_15 "q16_25_bm[15]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A))+D (!C (!B+A)))"))
          )
          (instance (rename q16_25_15 "q16_25[15]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance regq16_pipe_185_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C A+C (!B A+B !A)))"))
          )
          (instance (rename q8_3_0 "q8_3[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C A)+D (!C B+C A))"))
          )
          (instance (rename q16_12_d_am_14 "q16_12_d_am[14]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!B A)"))
          )
          (instance (rename q16_12_d_bm_14 "q16_12_d_bm[14]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A)+D B)"))
          )
          (instance (rename q16_12_d_14 "q16_12_d[14]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance next_mem_state_1_sqmuxa_RNINPLR4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C !B)+D (C (!B A)))"))
          )
          (instance daa8h_r (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D+(!C B+C (B+A)))"))
          )
          (instance (rename k_cpu_data_o_7_am_0 "k_cpu_data_o_7_am[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C !A)+D B)"))
          )
          (instance (rename k_cpu_data_o_7_bm_0 "k_cpu_data_o_7_bm[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B A)"))
          )
          (instance (rename k_cpu_data_o_7_0 "k_cpu_data_o_7[0]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename q8_13_am_2 "q8_13_am[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C B+C A)+D (C A))"))
          )
          (instance (rename q8_13_bm_2 "q8_13_bm[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B+A)))"))
          )
          (instance (rename q8_13_2 "q8_13[2]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename q8_13_am_6 "q8_13_am[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C B+C A)+D (C A))"))
          )
          (instance (rename q8_13_bm_6 "q8_13_bm[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B+A)))"))
          )
          (instance (rename q8_13_6 "q8_13[6]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename q8_13_am_3 "q8_13_am[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C B+C A)+D (C A))"))
          )
          (instance (rename q8_13_bm_3 "q8_13_bm[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B+A)))"))
          )
          (instance (rename q8_13_3 "q8_13[3]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename q8_13_am_5 "q8_13_am[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C B+C A)+D (C A))"))
          )
          (instance (rename q8_13_bm_5 "q8_13_bm[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B+A)))"))
          )
          (instance (rename q8_13_5 "q8_13[5]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename q8_13_am_4 "q8_13_am[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C B+C A)+D (C A))"))
          )
          (instance (rename q8_13_bm_4 "q8_13_bm[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B+A)))"))
          )
          (instance (rename q8_13_4 "q8_13[4]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance CCRo19_0_a2_RNIO48BD (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D !B+D (!C !B+C (!B !A)))"))
          )
          (instance vsbc16_r (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(C (!B A+B !A))"))
          )
          (instance vsub16_r (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C B+C !A))"))
          )
          (instance vadc16_r (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A)+D (C !B))"))
          )
          (instance vadd16_r (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B !A))"))
          )
          (instance next_mem_state_1_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A)+D (!C (B+A)))"))
          )
          (instance (rename q16_25_am_7 "q16_25_am[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!B A)"))
          )
          (instance (rename q16_25_bm_7 "q16_25_bm[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename q16_25_7 "q16_25[7]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance regq16_pipe_169_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C !A+C (!B !A+B A)))"))
          )
          (instance regq8_pipe_81_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!B !A)+D (!C (!B !A)+C !B))"))
          )
          (instance (rename q16_18_0 "q16_18[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C !A+C B)+D (!C !A))"))
          )
          (instance (rename q16_25_am_0 "q16_25_am[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (!B+!A)))"))
          )
          (instance (rename q16_25_bm_0 "q16_25_bm[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D B+D (!C !A))"))
          )
          (instance (rename q16_25_0 "q16_25[0]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename q16_18_am_9 "q16_18_am[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename q16_18_bm_9 "q16_18_bm[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A))+D (!C (!B+A)))"))
          )
          (instance (rename q16_18_9 "q16_18[9]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename q16_18_10 "q16_18[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q16_18_11 "q16_18[11]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q16_18_12 "q16_18[12]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q16_18_13 "q16_18[13]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q16_11_am_3 "q16_11_am[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A)+D B)"))
          )
          (instance (rename q16_11_bm_3 "q16_11_bm[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C B)+D (C A))"))
          )
          (instance (rename q16_11_3 "q16_11[3]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename q16_18_15 "q16_18[15]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q8_13_am_7 "q8_13_am[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C B+C A)+D (C A))"))
          )
          (instance (rename q8_13_bm_7 "q8_13_bm[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B+A)))"))
          )
          (instance (rename q8_13_7 "q8_13[7]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename q8_3_am_6 "q8_3_am[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B A)"))
          )
          (instance (rename q8_3_bm_6 "q8_3_bm[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C A)+D B)"))
          )
          (instance (rename q8_3_6 "q8_3[6]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename q8_3_am_5 "q8_3_am[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B A)"))
          )
          (instance (rename q8_3_bm_5 "q8_3_bm[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C A)+D B)"))
          )
          (instance (rename q8_3_5 "q8_3[5]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename q8_3_am_2 "q8_3_am[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B A)"))
          )
          (instance (rename q8_3_bm_2 "q8_3_bm[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C A)+D B)"))
          )
          (instance (rename q8_3_2 "q8_3[2]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename q16_11_am_4 "q16_11_am[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A)+D B)"))
          )
          (instance (rename q16_11_bm_4 "q16_11_bm[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C B)+D (C A))"))
          )
          (instance (rename q16_11_4 "q16_11[4]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename q16_25_am_2 "q16_25_am[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A))"))
          )
          (instance (rename q16_25_bm_2 "q16_25_bm[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D A+D (!C B))"))
          )
          (instance (rename q16_25_2 "q16_25[2]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename q16_25_am_6 "q16_25_am[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A))"))
          )
          (instance (rename q16_25_bm_6 "q16_25_bm[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D A+D (!C B))"))
          )
          (instance (rename q16_25_6 "q16_25[6]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename q16_25_am_5 "q16_25_am[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A))"))
          )
          (instance (rename q16_25_bm_5 "q16_25_bm[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D A+D (!C B))"))
          )
          (instance (rename q16_25_5 "q16_25[5]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename q16_25_am_4 "q16_25_am[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A))"))
          )
          (instance (rename q16_25_bm_4 "q16_25_bm[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D A+D (!C B))"))
          )
          (instance (rename q16_25_4 "q16_25[4]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename q8_3_am_3 "q8_3_am[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B A)"))
          )
          (instance (rename q8_3_bm_3 "q8_3_bm[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C A)+D B)"))
          )
          (instance (rename q8_3_3 "q8_3[3]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename q16_25_am_3 "q16_25_am[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A))"))
          )
          (instance (rename q16_25_bm_3 "q16_25_bm[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D A+D (!C B))"))
          )
          (instance (rename q16_25_3 "q16_25[3]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename q16_11_d_am_13 "q16_11_d_am[13]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A)+D B)"))
          )
          (instance (rename q16_11_d_bm_13 "q16_11_d_bm[13]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!B A)"))
          )
          (instance (rename q16_11_d_13 "q16_11_d[13]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename q16_11_d_am_12 "q16_11_d_am[12]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A)+D B)"))
          )
          (instance (rename q16_11_d_bm_12 "q16_11_d_bm[12]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!B A)"))
          )
          (instance (rename q16_11_d_12 "q16_11_d[12]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename q16_11_d_am_11 "q16_11_d_am[11]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A)+D B)"))
          )
          (instance (rename q16_11_d_bm_11 "q16_11_d_bm[11]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!B A)"))
          )
          (instance (rename q16_11_d_11 "q16_11_d[11]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename q16_11_d_am_10 "q16_11_d_am[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A)+D B)"))
          )
          (instance (rename q16_11_d_bm_10 "q16_11_d_bm[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!B A)"))
          )
          (instance (rename q16_11_d_10 "q16_11_d[10]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename q16_11_d_am_9 "q16_11_d_am[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A)+D B)"))
          )
          (instance (rename q16_11_d_bm_9 "q16_11_d_bm[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (!B A))+D (!C (!B !A)+C !B))"))
          )
          (instance (rename q16_11_d_9 "q16_11_d[9]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename q16_11_d_am_7 "q16_11_d_am[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A)+D B)"))
          )
          (instance (rename q16_11_d_bm_7 "q16_11_d_bm[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!B A)"))
          )
          (instance (rename q16_11_d_7 "q16_11_d[7]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename q16_11_d_am_8 "q16_11_d_am[8]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A)+D B)"))
          )
          (instance (rename q16_11_d_bm_8 "q16_11_d_bm[8]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (!B A))+D (!C (!B !A)+C !B))"))
          )
          (instance (rename q16_11_d_8 "q16_11_d[8]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename q16_11_d_am_6 "q16_11_d_am[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A)+D B)"))
          )
          (instance (rename q16_11_d_bm_6 "q16_11_d_bm[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (!B A))+D (!C (B+A)))"))
          )
          (instance (rename q16_11_d_6 "q16_11_d[6]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename q16_11_d_am_5 "q16_11_d_am[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A)+D B)"))
          )
          (instance (rename q16_11_d_bm_5 "q16_11_d_bm[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (!B A))+D (!C (B+A)))"))
          )
          (instance (rename q16_11_d_5 "q16_11_d[5]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance vneg8_r (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (C (B !A)))"))
          )
          (instance k_pp_regs_0_sqmuxa_2_1_RNI0A648 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (B A)))"))
          )
          (instance vneg16_r_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (!B !A)))"))
          )
          (instance (rename next_state_10_0 "next_state_10[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C+!B)+D !A)"))
          )
          (instance (rename q16_25_am_13 "q16_25_am[13]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A+C (!B A))+D (!C (B A)))"))
          )
          (instance (rename q16_25_bm_13 "q16_25_bm[13]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!B A)"))
          )
          (instance (rename q16_25_13 "q16_25[13]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename q16_4_1 "q16_4[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!B A)"))
          )
          (instance (rename q16_25_am_14 "q16_25_am[14]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A))"))
          )
          (instance (rename q16_25_bm_14 "q16_25_bm[14]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (!B A))+D (!C (!B !A)+C !B))"))
          )
          (instance (rename q16_25_14 "q16_25[14]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename q16_25_am_12 "q16_25_am[12]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A+C (!B A))+D (!C (B A)))"))
          )
          (instance (rename q16_25_bm_12 "q16_25_bm[12]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (!B A))+D (!C (!B !A)+C !B))"))
          )
          (instance (rename q16_25_12 "q16_25[12]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename q16_25_am_11 "q16_25_am[11]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A+C (!B A))+D (!C (B A)))"))
          )
          (instance (rename q16_25_bm_11 "q16_25_bm[11]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (!B A))+D (!C (!B !A)+C !B))"))
          )
          (instance (rename q16_25_11 "q16_25[11]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename q16_25_am_10 "q16_25_am[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A+C (!B A))+D (!C (B A)))"))
          )
          (instance (rename q16_25_bm_10 "q16_25_bm[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (!B A))+D (!C (!B !A)+C !B))"))
          )
          (instance (rename q16_25_10 "q16_25[10]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename q16_25_am_9 "q16_25_am[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A+C (!B A))+D (!C (B A)))"))
          )
          (instance (rename q16_25_bm_9 "q16_25_bm[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (!B A))+D (!C (!B !A)+C !B))"))
          )
          (instance (rename q16_25_9 "q16_25[9]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename q16_25_am_8 "q16_25_am[8]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A))"))
          )
          (instance (rename q16_25_bm_8 "q16_25_bm[8]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (!B A))+D (!C (!B !A)+C !B))"))
          )
          (instance (rename q16_25_8 "q16_25[8]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename q16_10_am_0 "q16_10_am[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (!B A))+D (!C (B+A)))"))
          )
          (instance (rename q16_10_bm_0 "q16_10_bm[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (C (B !A)))"))
          )
          (instance (rename q16_10_0 "q16_10[0]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename q16_7_0 "q16_7[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (!B A))+D (!C (!B A)+C B))"))
          )
          (instance (rename q16_18_14 "q16_18[14]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q16_18_8 "q16_18[8]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q8_2_0 "q8_2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (B !A)+D C)"))
          )
          (instance un1_opcode_in_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (!B A))+D (!C (B A)+C (!B A)))"))
          )
          (instance k_memhi_0_sqmuxa_RNI76MN (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C B+C (B+A)))"))
          )
          (instance CCRo19_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (C (B A)))"))
          )
          (instance vadd8_r (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B !A))"))
          )
          (instance vadc8_r (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A)+D (C !B))"))
          )
          (instance (rename q8_4_0_a3_0 "q8_4_0_a3[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C !A+C (!B !A+B A)))"))
          )
          (instance vsub8_r (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (!B A))"))
          )
          (instance (rename q16_9_i_2 "q16_9_i[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D+(!C !B+C (!B A+B !A)))"))
          )
          (instance (rename q8_16_0_a3_0 "q8_16_0_a3[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!B !A)+D (!C (!B !A)+C (!B A)))"))
          )
          (instance (rename q8_17_0 "q8_17[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C !A+C (!B !A+B A)))"))
          )
          (instance k_pp_regs_0_sqmuxa_2_7_RNI128O (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!B !A)"))
          )
          (instance (rename q8_19_2 "q8_19[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A+C B)+D (!C A))"))
          )
          (instance (rename q8_19_3 "q8_19[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A+C B)+D (!C A))"))
          )
          (instance (rename q8_19_4 "q8_19[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A+C B)+D (!C A))"))
          )
          (instance (rename q8_19_5 "q8_19[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A+C B)+D (!C A))"))
          )
          (instance (rename q8_19_6 "q8_19[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A+C B)+D (!C A))"))
          )
          (instance (rename q8_19_7 "q8_19[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A+C B)+D (!C A))"))
          )
          (instance (rename k_cpu_data_o_7_am_6 "k_cpu_data_o_7_am[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename k_cpu_data_o_7_bm_6 "k_cpu_data_o_7_bm[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B A)"))
          )
          (instance (rename k_cpu_data_o_7_6 "k_cpu_data_o_7[6]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename q16_18_1 "q16_18[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C B+C A)+D (!C B))"))
          )
          (instance (rename q16_18_2 "q16_18[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C B+C A)+D (!C B))"))
          )
          (instance (rename q16_18_3 "q16_18[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C B+C A)+D (!C B))"))
          )
          (instance (rename q16_18_7 "q16_18[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C B+C A)+D (!C B))"))
          )
          (instance (rename q16_18_6 "q16_18[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C B+C A)+D (!C B))"))
          )
          (instance (rename q16_18_5 "q16_18[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C B+C A)+D (!C B))"))
          )
          (instance (rename q16_18_4 "q16_18[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C B+C A)+D (!C B))"))
          )
          (instance un3_daa8h_r_ac0_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(C (B+A))"))
          )
          (instance daa_lnm9_ac0_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(C (B+A))"))
          )
          (instance (rename k_cpu_addr_26_iv_1_12 "k_cpu_addr_26_iv_1[12]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C (B+A))"))
          )
          (instance (rename k_cpu_addr_26_iv_1_14 "k_cpu_addr_26_iv_1[14]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C (B+A))"))
          )
          (instance (rename k_cpu_addr_26_iv_1_15 "k_cpu_addr_26_iv_1[15]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C (B+A))"))
          )
          (instance (rename q16_3_13 "q16_3[13]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C B)+D (C A))"))
          )
          (instance (rename q16_3_14 "q16_3[14]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C B)+D (C A))"))
          )
          (instance (rename q16_3_12 "q16_3[12]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C B)+D (C A))"))
          )
          (instance (rename q16_3_11 "q16_3[11]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C B)+D (C A))"))
          )
          (instance (rename q16_3_10 "q16_3[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C B)+D (C A))"))
          )
          (instance (rename q16_3_9 "q16_3[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C B)+D (C A))"))
          )
          (instance (rename q16_3_8 "q16_3[8]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C B)+D (C A))"))
          )
          (instance (rename q16_3_7 "q16_3[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C B)+D (C A))"))
          )
          (instance (rename q16_3_6 "q16_3[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C B)+D (C A))"))
          )
          (instance (rename q16_3_4 "q16_3[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C B)+D (C A))"))
          )
          (instance (rename q16_3_3 "q16_3[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C B)+D (C A))"))
          )
          (instance (rename q16_3_2 "q16_3[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C B)+D (C A))"))
          )
          (instance (rename q16_3_1 "q16_3[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C B)+D (C A))"))
          )
          (instance (rename q16_16_10 "q16_16[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename q16_16_11 "q16_16[11]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename q16_16_12 "q16_16[12]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename q16_16_13 "q16_16[13]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename q16_16_15 "q16_16[15]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename q8_2_4 "q8_2[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C A)+D B)"))
          )
          (instance (rename regs_o_su_m_14 "regs_o_su_m[14]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (B !A)+C (B+A)))"))
          )
          (instance (rename regs_o_su_m_6 "regs_o_su_m[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (B !A)+C (B+A)))"))
          )
          (instance (rename regs_o_su_m_4 "regs_o_su_m[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (B !A)+C (B+A)))"))
          )
          (instance vasr8_r (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (!B+!A))"))
          )
          (instance (rename regs_o_su_m_3 "regs_o_su_m[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (B !A)+C (B+A)))"))
          )
          (instance (rename regs_o_su_m_8 "regs_o_su_m[8]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (B !A)+C (B+A)))"))
          )
          (instance (rename regs_o_su_m_15 "regs_o_su_m[15]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (B !A)+C (B+A)))"))
          )
          (instance vasr16_r (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C B+C (!B A+B !A))+D (!C (!B !A+B A)+C !B))"))
          )
          (instance vror16_r (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (!B+!A))"))
          )
          (instance (rename regs_o_su_m_1 "regs_o_su_m[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (B !A)+C (B+A)))"))
          )
          (instance (rename regs_o_su_m_7 "regs_o_su_m[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (B !A)+C (B+A)))"))
          )
          (instance (rename regs_o_su_m_12 "regs_o_su_m[12]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (B !A)+C (B+A)))"))
          )
          (instance vshl16_r (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C B+C (!B A+B !A))+D (!C (!B !A+B A)+C !B))"))
          )
          (instance un1_next_state_3_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (B+A))+D (B+A))"))
          )
          (instance c16_5_i_m2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C B+C A)+D (!C A+C B))"))
          )
          (instance (rename q16_16_8 "q16_16[8]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename q16_16_14 "q16_16[14]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename q16_21_1 "q16_21[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A))"))
          )
          (instance (rename q8_12_1 "q8_12[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C A)"))
          )
          (instance vneg16_r_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B+!A))"))
          )
          (instance regq8_pipe_84_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!B+!A)"))
          )
          (instance k_pp_regs_0_sqmuxa_2_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (C (B A)))"))
          )
          (instance un1_state_95_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D+(C (B+A)))"))
          )
          (instance (rename k_cpu_addr_26_iv_0_12 "k_cpu_addr_26_iv_0[12]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C B)+D (!C A+C (B+A)))"))
          )
          (instance (rename k_cpu_addr_26_iv_1_7 "k_cpu_addr_26_iv_1[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C (B+A))"))
          )
          (instance (rename k_cpu_addr_26_iv_1_4 "k_cpu_addr_26_iv_1[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C (B+A))"))
          )
          (instance (rename k_cpu_addr_26_iv_1_6 "k_cpu_addr_26_iv_1[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C (B+A))"))
          )
          (instance (rename k_cpu_addr_26_iv_0_14 "k_cpu_addr_26_iv_0[14]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C B)+D (!C A+C (B+A)))"))
          )
          (instance (rename k_cpu_addr_26_iv_1_3 "k_cpu_addr_26_iv_1[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C (B+A))"))
          )
          (instance (rename k_cpu_addr_26_iv_1_8 "k_cpu_addr_26_iv_1[8]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C (B+A))"))
          )
          (instance (rename k_cpu_addr_26_iv_1_1 "k_cpu_addr_26_iv_1[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C (B+A))"))
          )
          (instance (rename k_cpu_addr_26_iv_0_15 "k_cpu_addr_26_iv_0[15]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C B)+D (!C A+C (B+A)))"))
          )
          (instance un1_state_87_1_RNI01C14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C B+C (B A)))"))
          )
          (instance regq8_pipe_11_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A))"))
          )
          (instance regq8_pipe_44_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A))"))
          )
          (instance (rename q8_17_2 "q8_17[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q8_17_3 "q8_17[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q8_17_4 "q8_17[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q8_17_5 "q8_17[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q8_17_6 "q8_17[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q8_17_7 "q8_17[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q16_13_7 "q16_13[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename k_cpu_data_o_7_0_1 "k_cpu_data_o_7_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename k_cpu_data_o_7_0_2 "k_cpu_data_o_7_0[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename k_cpu_data_o_7_0_3 "k_cpu_data_o_7_0[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename k_cpu_data_o_7_0_4 "k_cpu_data_o_7_0[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename k_cpu_data_o_7_0_5 "k_cpu_data_o_7_0[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename k_cpu_data_o_7_0_7 "k_cpu_data_o_7_0[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename q8_9_2 "q8_9[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename q8_22_2 "q8_22[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q8_9_6 "q8_9[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename q8_22_6 "q8_22[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q8_9_5 "q8_9[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename q8_22_5 "q8_22[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q8_9_4 "q8_9[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename q8_22_4 "q8_22[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q8_9_3 "q8_9[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename q8_22_3 "q8_22[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename next_state_cnst_0_a2_4 "next_state_cnst_0_a2[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C+(B+!A)))"))
          )
          (instance regq8_pipe_7_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B+!A)+C (!B A))+D (!C (!B !A)+C (B+A)))"))
          )
          (instance k_memhi_0_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (!B A)+C (B A)))"))
          )
          (instance (rename q16_17_0_a3_7 "q16_17_0_a3[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A))+D (!C (!B+A)))"))
          )
          (instance (rename q16_17_0_a3_1 "q16_17_0_a3[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A))+D (!C (!B+A)))"))
          )
          (instance (rename eor8_w_1 "eor8_w[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (!B A)+C (B+!A))+D (!C (B+A)+C (!B !A)))"))
          )
          (instance (rename and8_w_1 "and8_w[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (!B A))+D (C (B+A)))"))
          )
          (instance vshl8_r (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (!B A)+C (B+!A))+D (!C (B+A)+C (!B !A)))"))
          )
          (instance k_pp_regs_0_sqmuxa_2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (C (!B A)))"))
          )
          (instance (rename datamux_o_alu_in_left_path_data_9 "datamux_o_alu_in_left_path_data[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (B+!A))"))
          )
          (instance (rename datamux_o_alu_in_left_path_data_10 "datamux_o_alu_in_left_path_data[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (B+!A))"))
          )
          (instance (rename datamux_o_alu_in_left_path_data_11 "datamux_o_alu_in_left_path_data[11]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (B+!A))"))
          )
          (instance (rename datamux_o_alu_in_left_path_data_12 "datamux_o_alu_in_left_path_data[12]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (B+!A))"))
          )
          (instance (rename datamux_o_alu_in_left_path_data_13 "datamux_o_alu_in_left_path_data[13]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (B+!A))"))
          )
          (instance (rename datamux_o_alu_in_left_path_data_15 "datamux_o_alu_in_left_path_data[15]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (B+!A))"))
          )
          (instance (rename q8_9_7 "q8_9[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance mul16_w_madd_0_0_a (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B !A))+D (!C (B !A)+C !A))"))
          )
          (instance mul16_w_madd_1_1_b (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B A)"))
          )
          (instance mul16_w_madd_2_1_a (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B !A))+D (!C (B !A)+C !A))"))
          )
          (instance mul16_w_madd_2_1_b (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B A)"))
          )
          (instance mul16_w_madd_3_1_a (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B !A))+D (!C (B !A)+C !A))"))
          )
          (instance mul16_w_madd_3_1_b (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (!B A))+D (C (B+A)))"))
          )
          (instance mul16_w_madd_3_8_d (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (!B A))+D (C (B+A)))"))
          )
          (instance next_state_10_3_744 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C+A)+D (C+(B+A)))"))
          )
          (instance next_state_10_1_791 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D+(!C A+C (B+A)))"))
          )
          (instance (rename k_cpu_addr_26_iv_0_7 "k_cpu_addr_26_iv_0[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))"))
          )
          (instance (rename k_cpu_addr_26_iv_0_4 "k_cpu_addr_26_iv_0[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))"))
          )
          (instance (rename k_cpu_addr_26_iv_0_6 "k_cpu_addr_26_iv_0[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))"))
          )
          (instance (rename k_cpu_addr_26_iv_0_3 "k_cpu_addr_26_iv_0[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))"))
          )
          (instance (rename k_cpu_addr_26_iv_0_8 "k_cpu_addr_26_iv_0[8]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))"))
          )
          (instance (rename k_cpu_addr_26_iv_0_1 "k_cpu_addr_26_iv_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))"))
          )
          (instance (rename next_state_cnst_i_a2_1_0 "next_state_cnst_i_a2_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B+!A)))"))
          )
          (instance (rename next_state_cnst_i_a2_2_0 "next_state_cnst_i_a2_2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B+!A)+C !A)"))
          )
          (instance (rename un1_state_68_0 "un1_state_68[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D C+D (!C (B A)+C (B+!A)))"))
          )
          (instance vsbc8_r (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C+(B A))+D (!C+(!B+A)))"))
          )
          (instance regq8_pipe_12_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!B A+B !A)"))
          )
          (instance (rename q8_16_i_1 "q8_16_i[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(C+(B+!A))"))
          )
          (instance (rename q8_18_0_a3_1 "q8_18_0_a3[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!B A)"))
          )
          (instance (rename datamux_o_alu_in_left_path_data_8 "datamux_o_alu_in_left_path_data[8]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C B)+D (!C (!B A)+C (B+A)))"))
          )
          (instance (rename datamux_o_alu_in_left_path_data_14 "datamux_o_alu_in_left_path_data[14]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C B)+D (!C (!B A)+C (B+A)))"))
          )
          (instance sub16_w_cry_9_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!B+!A)+D (!C (B !A)+C (!B+!A)))"))
          )
          (instance sub16_w_cry_9_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!B+!A)+D (!C (B !A)+C (!B+!A)))"))
          )
          (instance sub16_w_cry_11_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!B+!A)+D (!C (B !A)+C (!B+!A)))"))
          )
          (instance sub16_w_cry_11_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!B+!A)+D (!C (B !A)+C (!B+!A)))"))
          )
          (instance sub16_w_cry_13_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!B+!A)+D (!C (B !A)+C (!B+!A)))"))
          )
          (instance sub8_w_cry_1_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B+!A)+C (B !A))"))
          )
          (instance sub8_w_cry_1_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B+!A)+C (B !A))"))
          )
          (instance sub8_w_cry_3_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B+!A)+C (B !A))"))
          )
          (instance sub8_w_cry_3_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B+!A)+C (B !A))"))
          )
          (instance sub8_w_cry_5_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B+!A)+C (B !A))"))
          )
          (instance sub8_w_cry_5_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B+!A)+C (B !A))"))
          )
          (instance (rename q8_16_7 "q8_16[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A))"))
          )
          (instance (rename q8_16_0_a2_4 "q8_16_0_a2[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A))"))
          )
          (instance (rename q8_16_5 "q8_16[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A))"))
          )
          (instance (rename q8_16_2 "q8_16[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A))"))
          )
          (instance q16_m2_e_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(C (B A))"))
          )
          (instance q16_m1_e_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(C (B A))"))
          )
          (instance sub8_w_cry_7_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B+!A)+C (B !A))"))
          )
          (instance sub16_w_cry_15_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!B+!A)+D (!C (B !A)+C (!B+!A)))"))
          )
          (instance N_1771_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (B !A)+C (!B !A)))"))
          )
          (instance next_state_10_3_744_a5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (B A)+C A))"))
          )
          (instance next_state_10_1_791_a5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (C (B A)))"))
          )
          (instance un1_k_cpu_addr_2_sqmuxa_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (B !A)+D (!C+(B !A)))"))
          )
          (instance (rename datamux_o_dest_1 "datamux_o_dest[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance (rename datamux_o_dest_2 "datamux_o_dest[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance (rename datamux_o_dest_3 "datamux_o_dest[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance (rename datamux_o_dest_4 "datamux_o_dest[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance (rename datamux_o_dest_5 "datamux_o_dest[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance (rename datamux_o_dest_6 "datamux_o_dest[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance (rename datamux_o_dest_8 "datamux_o_dest[8]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance (rename datamux_o_dest_9 "datamux_o_dest[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance (rename datamux_o_dest_10 "datamux_o_dest[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance (rename datamux_o_dest_12 "datamux_o_dest[12]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance (rename datamux_o_dest_13 "datamux_o_dest[13]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance (rename datamux_o_dest_14 "datamux_o_dest[14]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance (rename datamux_o_dest_15 "datamux_o_dest[15]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance (rename datamux_o_alu_in_left_path_data_2 "datamux_o_alu_in_left_path_data[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A)+C A)+D (!C (!B+A)+C A))"))
          )
          (instance (rename datamux_o_alu_in_left_path_data_4 "datamux_o_alu_in_left_path_data[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A)+C A)+D (!C (!B+A)+C A))"))
          )
          (instance (rename datamux_o_alu_in_left_path_data_1 "datamux_o_alu_in_left_path_data[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance (rename datamux_o_alu_in_left_path_data_5 "datamux_o_alu_in_left_path_data[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance (rename datamux_o_alu_in_left_path_data_6 "datamux_o_alu_in_left_path_data[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance state_3_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (!B A)))"))
          )
          (instance (rename datamux_o_alu_in_left_path_data_0_2_0 "datamux_o_alu_in_left_path_data_0_2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (B !A))+D (!C (!B !A)+C !A))"))
          )
          (instance (rename q8_16_i_o3_3 "q8_16_i_o3[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B+A)"))
          )
          (instance (rename datamux_o_alu_in_right_path_data_9 "datamux_o_alu_in_right_path_data[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (B A)+D (!C (!B+A)+C (B A)))"))
          )
          (instance (rename datamux_o_alu_in_right_path_data_10 "datamux_o_alu_in_right_path_data[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (B A)+D (!C (!B+A)+C (B A)))"))
          )
          (instance (rename datamux_o_alu_in_right_path_data_11 "datamux_o_alu_in_right_path_data[11]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (B A)+D (!C (!B+A)+C (B A)))"))
          )
          (instance (rename datamux_o_alu_in_right_path_data_12 "datamux_o_alu_in_right_path_data[12]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (B A)+D (!C (!B+A)+C (B A)))"))
          )
          (instance (rename datamux_o_alu_in_right_path_data_13 "datamux_o_alu_in_right_path_data[13]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (B A)+D (!C (!B+A)+C (B A)))"))
          )
          (instance (rename datamux_o_alu_in_right_path_data_15 "datamux_o_alu_in_right_path_data[15]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (B A)+D (!C (!B+A)+C (B A)))"))
          )
          (instance (rename datamux_o_alu_in_right_path_data_2 "datamux_o_alu_in_right_path_data[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (!B+A))"))
          )
          (instance (rename datamux_o_alu_in_right_path_data_7 "datamux_o_alu_in_right_path_data[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (!B+A))"))
          )
          (instance (rename datamux_o_alu_in_right_path_data_6 "datamux_o_alu_in_right_path_data[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (!B+A))"))
          )
          (instance (rename datamux_o_alu_in_right_path_data_5 "datamux_o_alu_in_right_path_data[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (!B+A))"))
          )
          (instance (rename datamux_o_alu_in_right_path_data_3 "datamux_o_alu_in_right_path_data[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (!B+A))"))
          )
          (instance (rename datamux_o_alu_in_right_path_data_4 "datamux_o_alu_in_right_path_data[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (!B+A))"))
          )
          (instance (rename q16_12_s_14 "q16_12_s[14]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B A)"))
          )
          (instance (rename q16_11_s_13 "q16_11_s[13]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B A)"))
          )
          (instance (rename q8_12_0_1 "q8_12_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!B !A)"))
          )
          (instance un1_k_cpu_addr_1_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C B)"))
          )
          (instance (rename datamux_o_dest_0_1 "datamux_o_dest_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename datamux_o_dest_0_2 "datamux_o_dest_0[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename datamux_o_dest_0_3 "datamux_o_dest_0[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename datamux_o_dest_0_4 "datamux_o_dest_0[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename datamux_o_dest_0_5 "datamux_o_dest_0[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename datamux_o_dest_0_6 "datamux_o_dest_0[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename datamux_o_dest_0_7 "datamux_o_dest_0[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename datamux_o_dest_0_8 "datamux_o_dest_0[8]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename datamux_o_dest_0_9 "datamux_o_dest_0[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename datamux_o_dest_0_10 "datamux_o_dest_0[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename datamux_o_dest_0_12 "datamux_o_dest_0[12]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename datamux_o_dest_0_13 "datamux_o_dest_0[13]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename datamux_o_dest_0_14 "datamux_o_dest_0[14]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename datamux_o_dest_0_15 "datamux_o_dest_0[15]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename datamux_o_dest_0_0 "datamux_o_dest_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename datamux_o_alu_in_left_path_data_d_1 "datamux_o_alu_in_left_path_data_d[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A)+C (!B+A))+D A)"))
          )
          (instance (rename datamux_o_alu_in_left_path_data_d_5 "datamux_o_alu_in_left_path_data_d[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A)+C (!B+A))+D A)"))
          )
          (instance (rename datamux_o_alu_in_left_path_data_d_7 "datamux_o_alu_in_left_path_data_d[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A)+C (!B+A))+D A)"))
          )
          (instance (rename datamux_o_alu_in_left_path_data_d_6 "datamux_o_alu_in_left_path_data_d[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A)+C (!B+A))+D A)"))
          )
          (instance (rename datamux_o_alu_in_right_path_data_1_1 "datamux_o_alu_in_right_path_data_1[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (!B+A))"))
          )
          (instance (rename datamux_o_alu_in_right_path_data_1_15 "datamux_o_alu_in_right_path_data_1[15]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C !A+C B)"))
          )
          (instance (rename datamux_o_alu_in_right_path_data_1_am_2 "datamux_o_alu_in_right_path_data_1_am[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename datamux_o_alu_in_right_path_data_1_bm_2 "datamux_o_alu_in_right_path_data_1_bm[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A)))"))
          )
          (instance (rename datamux_o_alu_in_right_path_data_1_2 "datamux_o_alu_in_right_path_data_1[2]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename datamux_o_alu_in_right_path_data_1_am_7 "datamux_o_alu_in_right_path_data_1_am[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename datamux_o_alu_in_right_path_data_1_bm_7 "datamux_o_alu_in_right_path_data_1_bm[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A)))"))
          )
          (instance (rename datamux_o_alu_in_right_path_data_1_7 "datamux_o_alu_in_right_path_data_1[7]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename datamux_o_alu_in_right_path_data_1_6 "datamux_o_alu_in_right_path_data_1[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (!B+A))"))
          )
          (instance (rename datamux_o_alu_in_right_path_data_1_5 "datamux_o_alu_in_right_path_data_1[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (!B+A))"))
          )
          (instance (rename datamux_o_alu_in_right_path_data_1_am_3 "datamux_o_alu_in_right_path_data_1_am[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename datamux_o_alu_in_right_path_data_1_bm_3 "datamux_o_alu_in_right_path_data_1_bm[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A)))"))
          )
          (instance (rename datamux_o_alu_in_right_path_data_1_3 "datamux_o_alu_in_right_path_data_1[3]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename datamux_o_alu_in_right_path_data_1_4 "datamux_o_alu_in_right_path_data_1[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C B)+D (!C A+C B))"))
          )
          (instance (rename datamux_o_alu_in_left_path_data_d_2 "datamux_o_alu_in_left_path_data_d[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A)+C A)+D (!C (!B+A)+C A))"))
          )
          (instance (rename datamux_o_alu_in_left_path_data_d_4 "datamux_o_alu_in_left_path_data_d[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A)+C A)+D (!C (!B+A)+C A))"))
          )
          (instance (rename datamux_o_alu_in_left_path_data_a1_0_0 "datamux_o_alu_in_left_path_data_a1_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A+C (B A))+D (!C (!B A)))"))
          )
          (instance N_1470_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D !A+D (!C (!B !A)))"))
          )
          (instance (rename q8_18_0 "q8_18[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!B A)"))
          )
          (instance regq8_pipe_75_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B A)"))
          )
          (instance sub16_w_cry_7_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!B+!A)+D (!C (B !A)+C (!B+!A)))"))
          )
          (instance sub16_w_cry_13_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!B+!A)+D (!C (B !A)+C (!B+!A)))"))
          )
          (instance (rename datamux_o_alu_in_left_path_data_a2_0 "datamux_o_alu_in_left_path_data_a2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A+C (B A))+D (!C (!B A)))"))
          )
          (instance state_23_0_iv_352_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (B+A)+D (C+(B+A)))"))
          )
          (instance (rename datamux_o_alu_in_left_path_data_d_d_3 "datamux_o_alu_in_left_path_data_d_d[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (B+!A))"))
          )
          (instance (rename datamux_o_alu_in_left_path_data_d_d_2 "datamux_o_alu_in_left_path_data_d_d[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (B+!A))"))
          )
          (instance (rename datamux_o_alu_in_left_path_data_d_d_4 "datamux_o_alu_in_left_path_data_d_d[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (B+!A))"))
          )
          (instance (rename datamux_o_alu_in_left_path_data_d_d_5 "datamux_o_alu_in_left_path_data_d_d[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (B+!A))"))
          )
          (instance (rename datamux_o_alu_in_left_path_data_d_d_7 "datamux_o_alu_in_left_path_data_d_d[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (B+!A))"))
          )
          (instance (rename datamux_o_alu_in_left_path_data_d_d_6 "datamux_o_alu_in_left_path_data_d_d[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (B+!A))"))
          )
          (instance z16_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(C (B A))"))
          )
          (instance (rename q8_8_0_a3_1 "q8_8_0_a3[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!B A)"))
          )
          (instance (rename q8_8_0_a3_0 "q8_8_0_a3[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!B A)"))
          )
          (instance (rename datamux_o_alu_in_right_path_data_8 "datamux_o_alu_in_right_path_data[8]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (B A)+D (!C (!B+A)+C (B A)))"))
          )
          (instance (rename datamux_o_alu_in_right_path_data_14 "datamux_o_alu_in_right_path_data[14]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (B A)+D (!C (!B+A)+C (B A)))"))
          )
          (instance state_23_0_iv_352_a7_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A))+D (B A))"))
          )
          (instance k_pp_regs_4_sqmuxa_RNI2LEI3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A))"))
          )
          (instance (rename next_state_cnst_i_o2_2_0 "next_state_cnst_i_o2_2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D+(C+(B+A)))"))
          )
          (instance (rename CCRo_am_1 "CCRo_am[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename CCRo_bm_1 "CCRo_bm[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename CCRo_1 "CCRo[1]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance z8_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (C (B A)))"))
          )
          (instance z16_2_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (C (!B !A)))"))
          )
          (instance datamux_o_alu_in_right_path_data_0_sqmuxa_846 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (!B+!A))+D (C !B))"))
          )
          (instance z16_2_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (!B !A)))"))
          )
          (instance z16_2_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (!B !A)))"))
          )
          (instance un1_k_cpu_addr_6_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C A)+D (!C (B A)+C A))"))
          )
          (instance (rename q_out_1_am_2 "q_out_1_am[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q_out_1_bm_2 "q_out_1_bm[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q_out_1_2 "q_out_1[2]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename q_out_1_am_3 "q_out_1_am[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q_out_1_bm_3 "q_out_1_bm[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q_out_1_3 "q_out_1[3]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename q_out_1_am_4 "q_out_1_am[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q_out_1_bm_4 "q_out_1_bm[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q_out_1_4 "q_out_1[4]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename q_out_1_am_5 "q_out_1_am[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q_out_1_bm_5 "q_out_1_bm[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q_out_1_5 "q_out_1[5]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename q_out_1_am_6 "q_out_1_am[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q_out_1_bm_6 "q_out_1_bm[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q_out_1_6 "q_out_1[6]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename q_out_1_0 "q_out_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename q_out_1_am_1 "q_out_1_am[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q_out_1_bm_1 "q_out_1_bm[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q_out_1_1 "q_out_1[1]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance un1_state_97_RNINJB31 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C B+C (B A)))"))
          )
          (instance datamux_m5_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C+B)+D (!C+(B+A)))"))
          )
          (instance state_23_0_iv_352_o7_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C+(B+A))+D (!C (B+A)+C A))"))
          )
          (instance (rename q_out_1_7 "q_out_1[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance z8_2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C !A)+D (!C !B))"))
          )
          (instance z8_2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C !A)+D (!C !B))"))
          )
          (instance z8_2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C !A)+D (!C !B))"))
          )
          (instance z8_2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C !A)+D (!C !B))"))
          )
          (instance z16_2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C !A)+D (!C !B))"))
          )
          (instance state_23_0_iv_352_m2_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B+A)+C (!B A))+D (!C+!B))"))
          )
          (instance state_23_0_iv_352_m2_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D+(!C (B+A)+C B))"))
          )
          (instance state_23_0_iv_352_m2 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance datamux_o_alu_in_right_path_data27_1_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D+(!C (B A)+C B))"))
          )
          (instance v8_18_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance v8_18 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance v16_20_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance v16_20_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance v16_20 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename q16_27_6 "q16_27[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q8_28_4 "q8_28[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q16_27_4 "q16_27[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q8_28_3 "q8_28[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q16_27_3 "q16_27[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q16_27_0 "q16_27[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q8_28_bm_0 "q8_28_bm[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename q8_28_0 "q8_28[0]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename q16_27_8 "q16_27[8]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q16_27_9 "q16_27[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q16_27_10 "q16_27[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q16_27_11 "q16_27[11]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q16_27_12 "q16_27[12]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q16_27_13 "q16_27[13]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q16_27_14 "q16_27[14]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q16_27_15 "q16_27[15]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance un1_state_75_RNID6VJ2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (!B A)))"))
          )
          (instance un1_state_97 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D+(C+(B+A)))"))
          )
          (instance k_pp_regs_4_sqmuxa_RNI05GT2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C !B)+D (!C (!B !A)))"))
          )
          (instance (rename q8_27_2 "q8_27[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename q16_26_2 "q16_26[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q8_27_3 "q8_27[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance (rename q16_26_3 "q16_26[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q8_27_4 "q8_27[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename q16_26_4 "q16_26[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q8_27_5 "q8_27[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename q16_26_5 "q16_26[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q8_27_6 "q8_27[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance (rename q16_26_6 "q16_26[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q8_28_7 "q8_28[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q16_27_7 "q16_27[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q16_26_0 "q16_26[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q16_26_8 "q16_26[8]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q16_26_9 "q16_26[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q16_26_10 "q16_26[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q16_26_11 "q16_26[11]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q16_26_12 "q16_26[12]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q16_26_13 "q16_26[13]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q16_26_14 "q16_26[14]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q16_26_15 "q16_26[15]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q8_27_1 "q8_27[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B !A)+C (B+!A))"))
          )
          (instance (rename q8_14_am_1 "q8_14_am[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q8_14_bm_1 "q8_14_bm[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q8_14_1 "q8_14[1]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename q16_26_am_1 "q16_26_am[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q16_26_bm_1 "q16_26_bm[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q16_26_1 "q16_26[1]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance un1_state_95_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (B A)+D (!C+(B A)))"))
          )
          (instance k_cpu_oe_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D+(C+(B+A)))"))
          )
          (instance un1_state_77 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D+(C+(B+A)))"))
          )
          (instance un1_state_87_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D+(C+(B+A)))"))
          )
          (instance un1_state_97_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D+(C+(B+A)))"))
          )
          (instance state_23_0_iv_352_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B+A)+C A)+D A)"))
          )
          (instance un1_state_75 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D+(C+(B+A)))"))
          )
          (instance un1_state_76 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D+(C+(B A)))"))
          )
          (instance k_cpu_oe_3_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D C+D (C+(B A)))"))
          )
          (instance un1_state_19_RNIBDDL3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C B+C (B !A))+D (B !A))"))
          )
          (instance N_1646_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C+B)+D (!C A+C (B A)))"))
          )
          (instance N_1627_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C+B)+D (!C A+C (B A)))"))
          )
          (instance N_1532_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C+B)+D (!C A+C (B A)))"))
          )
          (instance v16_18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance v16_11_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (!B+A))"))
          )
          (instance v16_11_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance v16_11 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance v16_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename CCRo_am_2 "CCRo_am[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename CCRo_bm_2 "CCRo_bm[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename CCRo_2 "CCRo[2]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance v8_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q16_19_2 "q16_19[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q8_26_2 "q8_26[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename q16_19_3 "q16_19[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q8_26_3 "q8_26[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename q16_19_4 "q16_19[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q8_26_4 "q8_26[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename q16_19_5 "q16_19[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q8_26_5 "q8_26[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename q16_19_6 "q16_19[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q8_26_6 "q8_26[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename q8_27_7 "q8_27[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename q16_26_7 "q16_26[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q16_19_0 "q16_19[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q8_26_0 "q8_26[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename q16_19_8 "q16_19[8]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q16_19_9 "q16_19[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q16_19_10 "q16_19[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q16_19_11 "q16_19[11]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q16_19_12 "q16_19[12]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q16_19_13 "q16_19[13]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q16_19_14 "q16_19[14]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q16_19_15 "q16_19[15]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename k_new_pc_17_3 "k_new_pc_17[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename k_new_pc_17_7 "k_new_pc_17[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename k_new_pc_17_am_8 "k_new_pc_17_am[8]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (B+!A))"))
          )
          (instance (rename k_new_pc_17_bm_8 "k_new_pc_17_bm[8]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance (rename k_new_pc_17_8 "k_new_pc_17[8]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename k_new_pc_17_am_12 "k_new_pc_17_am[12]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (B+!A))"))
          )
          (instance (rename k_new_pc_17_bm_12 "k_new_pc_17_bm[12]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance (rename k_new_pc_17_12 "k_new_pc_17[12]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename k_new_pc_17_am_14 "k_new_pc_17_am[14]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (B+!A))"))
          )
          (instance (rename k_new_pc_17_bm_14 "k_new_pc_17_bm[14]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance (rename k_new_pc_17_14 "k_new_pc_17[14]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename k_new_pc_17_am_15 "k_new_pc_17_am[15]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (B+!A))"))
          )
          (instance (rename k_new_pc_17_bm_15 "k_new_pc_17_bm[15]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance (rename k_new_pc_17_15 "k_new_pc_17[15]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename q8_26_1 "q8_26[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename q16_12_1 "q16_12[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance datamux_o_alu_in_right_path_data27_1_0_o2_0_tz (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C B+C (B+A))+D B)"))
          )
          (instance k_pp_regs_4_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(C (B A))"))
          )
          (instance un1_state_76_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A))+D (C (B A)))"))
          )
          (instance k_cpu_oe_3_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B A))"))
          )
          (instance state_3_sqmuxa_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (C (!B A)))"))
          )
          (instance SUM3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (B A))+D (!C+(!B+!A)))"))
          )
          (instance un1_state_19 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (!B A)))"))
          )
          (instance un1_state_87_1_a6_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B !A)))"))
          )
          (instance v8_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance v8_3_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (!B+A))"))
          )
          (instance v8_3_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance v8_3 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance v16_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance v16_3_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (!B+A))"))
          )
          (instance v16_3_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance v16_3 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename CCRo_5 "CCRo[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance v8_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance v16_17_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance v16_17_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (!B+A))"))
          )
          (instance v16_17 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename q16_15_2 "q16_15[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q8_23_2 "q8_23[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q16_15_3 "q16_15[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename q8_23_3 "q8_23[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q16_15_4 "q16_15[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename q8_23_4 "q8_23[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q16_15_5 "q16_15[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q8_23_5 "q8_23[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q16_15_6 "q16_15[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename q8_23_6 "q8_23[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q16_19_7 "q16_19[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q8_26_7 "q8_26[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename CCRo_7_3 "CCRo_7[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q16_15_0 "q16_15[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename q8_6_0 "q8_6[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q8_10_0 "q8_10[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q8_23_am_0 "q8_23_am[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q8_23_bm_0 "q8_23_bm[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q8_23_0 "q8_23[0]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename q16_15_8 "q16_15[8]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename q16_15_9 "q16_15[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename q16_15_10 "q16_15[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename q16_15_11 "q16_15[11]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename q16_15_12 "q16_15[12]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename q16_15_13 "q16_15[13]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename q16_15_14 "q16_15[14]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename q16_15_15 "q16_15[15]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename k_new_pc_17_2_3 "k_new_pc_17_2[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance (rename k_new_pc_17_2_7 "k_new_pc_17_2[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance (rename q8_19_1 "q8_19[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q8_23_am_1 "q8_23_am[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q8_23_bm_1 "q8_23_bm[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q8_23_1 "q8_23[1]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename q8_10_1 "q8_10[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q8_6_am_1 "q8_6_am[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q8_6_bm_1 "q8_6_bm[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename q8_6_1 "q8_6[1]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename q8_3_1 "q8_3[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q16_15_1 "q16_15[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename q16_11_am_1 "q16_11_am[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q16_11_bm_1 "q16_11_bm[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename q16_11_1 "q16_11[1]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance k_cpu_we_3_1_tz (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (B !A)+C (!B !A)))"))
          )
          (instance un1_state_56 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (!B A)))"))
          )
          (instance un3_cpu_reset_RNIM8E5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!B A)"))
          )
          (instance un1_state_42 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (!B !A)))"))
          )
          (instance state_23_0_iv_352_a7_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (B A)))"))
          )
          (instance cneg16_r_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D+(C+(B+A)))"))
          )
          (instance k_clear_e_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (B A)))"))
          )
          (instance un1_state_25 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (B A)))"))
          )
          (instance un1_state_55 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (B A)))"))
          )
          (instance un1_state_40 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (C (B A)))"))
          )
          (instance un1_state_32 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (B A)))"))
          )
          (instance datamux_o_alu_in_right_path_data27_1_0_o2_0_tz_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(C+(B A))"))
          )
          (instance datamux_o_alu_in_right_path_data27_1_0_a3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B+!A))"))
          )
          (instance SUM2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (!B+!A))"))
          )
          (instance un3_cpu_reset (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (C (B !A)))"))
          )
          (instance vneg8_r_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (!B !A)))"))
          )
          (instance k_pp_regs_0_sqmuxa_2_7_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B !A)))"))
          )
          (instance cneg16_r_13_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D+(C+(B A)))"))
          )
          (instance vneg16_r_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(C (!B !A))"))
          )
          (instance vneg16_r_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (!B !A)))"))
          )
          (instance k_eahi_11_iv_0_0_583_i_m3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename data_io_7 "data_io[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename data_io_6 "data_io[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename data_io_5 "data_io[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename data_io_4 "data_io[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename data_io_3 "data_io[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename data_io_2 "data_io[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename data_io_1 "data_io[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename data_io_0 "data_io[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance k_eahi_11_iv_0_5_478_i_m3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance k_eahi_11_iv_0_604_i_m3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance v8_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance v16_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance v16_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance v8_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance v16_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B A)+C (B+A))"))
          )
          (instance n16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (!B+A))"))
          )
          (instance z16_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (!B+A))"))
          )
          (instance (rename CCRo_0_5 "CCRo_0[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename CCRo_0_3 "CCRo_0[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance v8_14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (!B+A))"))
          )
          (instance (rename q16_13_2 "q16_13[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename q8_21_2 "q8_21[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q16_13_3 "q16_13[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename q8_21_3 "q8_21[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q16_13_4 "q16_13[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename q8_21_4 "q8_21[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q16_13_5 "q16_13[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename q8_21_5 "q8_21[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q16_13_6 "q16_13[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename q8_21_6 "q8_21[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q16_15_7 "q16_15[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q8_26_RNO_7 "q8_26_RNO[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (B+A))"))
          )
          (instance (rename q16_13_0 "q16_13[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename q8_5_0 "q8_5[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q8_9_0 "q8_9[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q8_19_0 "q8_19[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q16_13_8 "q16_13[8]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename q16_13_9 "q16_13[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename q16_13_10 "q16_13[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename q16_13_11 "q16_13[11]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename q16_13_12 "q16_13[12]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename q16_13_13 "q16_13[13]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename q16_13_14 "q16_13[14]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename q16_13_15 "q16_13[15]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename k_new_pc_17_1_3 "k_new_pc_17_1[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (B+!A))"))
          )
          (instance (rename k_new_pc_17_1_7 "k_new_pc_17_1[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (B+!A))"))
          )
          (instance (rename k_new_pc_17_1_8 "k_new_pc_17_1[8]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (B+!A))"))
          )
          (instance (rename k_new_pc_17_1_12 "k_new_pc_17_1[12]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (B+!A))"))
          )
          (instance (rename k_new_pc_17_1_14 "k_new_pc_17_1[14]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (B+!A))"))
          )
          (instance (rename k_new_pc_17_1_15 "k_new_pc_17_1[15]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B A)+C (B+!A))"))
          )
          (instance (rename un1_k_memlo_15 "un1_k_memlo[15]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename un1_k_memlo_14 "un1_k_memlo[14]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename un1_k_memlo_13 "un1_k_memlo[13]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename un1_k_memlo_12 "un1_k_memlo[12]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename un1_k_memlo_11 "un1_k_memlo[11]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename un1_k_memlo_10 "un1_k_memlo[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename un1_k_memlo_9 "un1_k_memlo[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename un1_k_memlo_8 "un1_k_memlo[8]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename q8_17_1 "q8_17[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q8_9_1 "q8_9[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename q8_2_1 "q8_2[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C A+C B)"))
          )
          (instance (rename q16_13_1 "q16_13[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance (rename q16_24_1 "q16_24[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance un1_state_18_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!B !A)"))
          )
          (instance k_pp_regs_1_sqmuxa_2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B A)"))
          )
          (instance (rename state54_3_0_a2_0 "state54_3_0_a2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!B !A)"))
          )
          (instance (rename leds_r_cnv_0 "leds_r_cnv[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!B A)"))
          )
          (instance un1_cen_o (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B+A)"))
          )
          (instance SUM1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!B A+B !A)"))
          )
          (instance mul16_w_madd_axb_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!B A+B !A)"))
          )
          (instance cneg16_r_13_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B+A)"))
          )
          (instance (rename CCRo_3 "CCRo[3]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename datamux_o_dest_7 "datamux_o_dest[7]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename datamux_o_dest_0 "datamux_o_dest[0]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance un1_state_25_RNIDQVI2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (!B+!A)))"))
          )
          (instance k_cpu_oe_3_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D (!C (B A)))"))
          )
          (instance k_cpu_oe_3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D B+D (!C B+C (B+A)))"))
          )
          (instance (rename q8_28_am_1_0 "q8_28_am_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C !A+C !B)+D !C)"))
          )
          (instance (rename q8_28_am_0 "q8_28_am[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D !C+D (!C A+C B))"))
          )
          (instance v8_18_am_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C+!A)+D (C !B))"))
          )
          (instance v8_18_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C B+C A)+D !C)"))
          )
          (instance (rename q8_7_bm_1_4 "q8_7_bm_1[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C !B+C !A)"))
          )
          (instance (rename q8_7_bm_4 "q8_7_bm[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (!B !A+B A)+C !A)+D (!C !A+C B))"))
          )
          (instance (rename q8_7_bm_1_7 "q8_7_bm_1[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (!B !A+B A)+C !B)+D !C)"))
          )
          (instance (rename q8_7_bm_7 "q8_7_bm[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D !B+D (!C (!B A)+C (B+A)))"))
          )
          (instance (rename datamux_o_alu_in_left_path_data_0_1_1_0 "datamux_o_alu_in_left_path_data_0_1_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B+A))"))
          )
          (instance regq16_pipe_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D A+D (!C A+C (B+A)))"))
          )
          (instance regq16_pipe_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A)))"))
          )
          (instance regq16_pipe_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(C (B A))"))
          )
          (instance regq16_pipe_RNO_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C B+C A)"))
          )
          (instance datamux_m2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (!B+!A)+C (!B A))+D !B)"))
          )
          (instance (rename datamux_o_alu_in_right_path_data_1_0_1 "datamux_o_alu_in_right_path_data_1_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B+!A)+C (B !A))"))
          )
          (instance (rename datamux_o_alu_in_right_path_data_1 "datamux_o_alu_in_right_path_data[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B+!A))+D (!C+(!B A)))"))
          )
          (instance (rename datamux_o_alu_in_left_path_data_d_d_1 "datamux_o_alu_in_left_path_data_d_d[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (!B !A)+C !A)+D (!C (B+!A)+C !A))"))
          )
          (instance datamux_m2_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!B A)"))
          )
          (instance datamux_m2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D !C+D (!C (!B+A)))"))
          )
          (instance regq16_pipe_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C+!A)+D !B)"))
          )
          (instance regq16_pipe_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D B+D (!C (B+!A)+C B))"))
          )
          (instance (rename datamux_o_alu_in_left_path_data_0_sx_0 "datamux_o_alu_in_left_path_data_0_sx[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (B !A)+D (C B))"))
          )
          (instance (rename datamux_o_alu_in_left_path_data_0_0 "datamux_o_alu_in_left_path_data_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C (!B A))+D (!B A))"))
          )
          (instance (rename datamux_o_alu_in_left_path_data_0_1_x0_0 "datamux_o_alu_in_left_path_data_0_1_x0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D+(C+(!B+A)))"))
          )
          (instance (rename datamux_o_alu_in_left_path_data_0_1_x1_0 "datamux_o_alu_in_left_path_data_0_1_x1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D+(!C (!B+A)))"))
          )
          (instance (rename datamux_o_alu_in_left_path_data_0_1_0 "datamux_o_alu_in_left_path_data_0_1[0]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
          (instance (rename datamux_o_alu_in_left_path_data_a0_0_sx_0 "datamux_o_alu_in_left_path_data_a0_0_sx[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C !B)+D (C (!B !A)))"))
          )
          (instance (rename datamux_o_alu_in_left_path_data_a0_0_0 "datamux_o_alu_in_left_path_data_a0_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D !A+D (!C (!B !A)+C !A))"))
          )
          (instance (rename datamux_o_alu_in_left_path_data_sx_7 "datamux_o_alu_in_left_path_data_sx[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C A+C (!B A))+D (!C (B A)))"))
          )
          (instance (rename datamux_o_alu_in_left_path_data_7 "datamux_o_alu_in_left_path_data[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B+A))"))
          )
          (instance datamux_o_alu_in_right_path_data27_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(C (!B !A))"))
          )
          (instance (rename datamux_o_alu_in_left_path_data_mb_3 "datamux_o_alu_in_left_path_data_mb[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (!B A)+C !B)+D (!C (!B+!A)+C !B))"))
          )
          (instance (rename datamux_o_alu_in_left_path_data_mb_1_rn_3 "datamux_o_alu_in_left_path_data_mb_1_rn[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B+A)+C (!B !A))"))
          )
          (instance (rename datamux_o_alu_in_left_path_data_mb_1_mb_3 "datamux_o_alu_in_left_path_data_mb_1_mb[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C B+C !A)+D !A)"))
          )
          (instance (rename datamux_o_alu_in_left_path_data_d_d_1_1_1 "datamux_o_alu_in_left_path_data_d_d_1_1[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (B !A)+C (!B !A))"))
          )
          (instance (rename datamux_o_alu_in_left_path_data_d_d_1_1 "datamux_o_alu_in_left_path_data_d_d_1[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C+(!B+!A))+D (C (B A)))"))
          )
          (instance (rename datamux_o_alu_in_left_path_data_a2_x_0 "datamux_o_alu_in_left_path_data_a2_x[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!C (!B+A)+C (!B !A))"))
          )
          (instance (rename datamux_o_alu_in_left_path_data_0_1_0_0 "datamux_o_alu_in_left_path_data_0_1_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (!B+!A))+D (!B+!A))"))
          )
          (instance (rename datamux_o_alu_in_left_path_data_a2_0_0 "datamux_o_alu_in_left_path_data_a2_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (C B)+D (C (B !A)))"))
          )
          (instance CCRo19_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C !A+C (!B !A)))"))
          )
          (instance (rename k_pp_regs_27_bm_2 "k_pp_regs_27_bm[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D B+D (!C B+C (B A)))"))
          )
          (instance (rename k_pp_regs_27_bm_6 "k_pp_regs_27_bm[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D B+D (!C B+C (B A)))"))
          )
          (instance neg16_w_s_15_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x5027"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x300A"))
          )
          (instance neg16_w_cry_13_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0xF001"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0xF001"))
          )
          (instance neg16_w_cry_11_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0xF001"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0xF001"))
          )
          (instance neg16_w_cry_9_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0xF001"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0xF001"))
          )
          (instance neg16_w_cry_7_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0xF001"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0xF001"))
          )
          (instance neg16_w_cry_5_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0xF001"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0xF001"))
          )
          (instance neg16_w_cry_3_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0xF001"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0xF001"))
          )
          (instance neg16_w_cry_1_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0xF001"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0xF001"))
          )
          (instance neg16_w_cry_0_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x300A"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0xF007"))
          )
          (instance add16_w_cry_15_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x5006"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x300A"))
          )
          (instance add16_w_cry_13_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x5006"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x5006"))
          )
          (instance add16_w_cry_11_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x5006"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x5006"))
          )
          (instance add16_w_cry_9_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x5006"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x5006"))
          )
          (instance add16_w_cry_7_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x5006"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x5006"))
          )
          (instance add16_w_cry_5_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x5006"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x5006"))
          )
          (instance add16_w_cry_3_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x5006"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x5006"))
          )
          (instance add16_w_cry_1_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x5006"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x5006"))
          )
          (instance add16_w_cry_0_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x300A"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x7087"))
          )
          (instance sub8_w_cry_7_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x50C3"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x300A"))
          )
          (instance sub8_w_cry_5_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x50C3"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x50C3"))
          )
          (instance sub8_w_cry_3_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x50C3"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x50C3"))
          )
          (instance sub8_w_cry_1_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x50C3"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x50C3"))
          )
          (instance sub8_w_cry_0_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x300A"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x506A"))
          )
          (instance add8_w_cry_7_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x5006"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x300A"))
          )
          (instance add8_w_cry_5_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x5006"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x5006"))
          )
          (instance add8_w_cry_3_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x5006"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x5006"))
          )
          (instance add8_w_cry_1_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x5006"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x5006"))
          )
          (instance add8_w_cry_0_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x300A"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x7087"))
          )
          (instance adc16_w_s_15_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x5006"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x300A"))
          )
          (instance adc16_w_cry_13_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x5006"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x5006"))
          )
          (instance adc16_w_cry_11_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x5006"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x5006"))
          )
          (instance adc16_w_cry_9_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x5006"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x5006"))
          )
          (instance adc16_w_cry_7_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x5006"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x5006"))
          )
          (instance adc16_w_cry_5_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x5006"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x5006"))
          )
          (instance adc16_w_cry_3_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x5006"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x5006"))
          )
          (instance adc16_w_cry_1_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x5006"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x5006"))
          )
          (instance adc16_w_cry_0_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x300A"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x7087"))
          )
          (instance sub16_w_cry_15_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x50C3"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x300A"))
          )
          (instance sub16_w_cry_13_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x50C3"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x50C3"))
          )
          (instance sub16_w_cry_11_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x50C3"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x50C3"))
          )
          (instance sub16_w_cry_9_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x50C3"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x50C3"))
          )
          (instance sub16_w_cry_7_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0xA009"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x50C3"))
          )
          (instance sub16_w_cry_5_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0xA009"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0xA009"))
          )
          (instance sub16_w_cry_3_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0xA009"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0xA009"))
          )
          (instance sub16_w_cry_1_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0xA009"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0xA009"))
          )
          (instance sub16_w_cry_0_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x300A"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x506A"))
          )
          (instance sbc16_w_cry_15_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x5009"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x300A"))
          )
          (instance sbc16_w_cry_13_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x5009"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x5009"))
          )
          (instance sbc16_w_cry_11_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x5009"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x5009"))
          )
          (instance sbc16_w_cry_9_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x5009"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x5009"))
          )
          (instance sbc16_w_cry_7_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x5009"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x5009"))
          )
          (instance sbc16_w_cry_5_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x5009"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x5009"))
          )
          (instance sbc16_w_cry_3_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x5009"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x5009"))
          )
          (instance sbc16_w_cry_1_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x5009"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x5009"))
          )
          (instance sbc16_w_cry_0_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x300A"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x7778"))
          )
          (instance sbc8_w_cry_7_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x5009"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x300A"))
          )
          (instance sbc8_w_cry_5_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x5009"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x5009"))
          )
          (instance sbc8_w_cry_3_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x5009"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x5009"))
          )
          (instance sbc8_w_cry_1_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x5009"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x5009"))
          )
          (instance sbc8_w_cry_0_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x300A"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x7778"))
          )
          (instance mul16_w_madd_4_cry_9_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x300A"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x300A"))
          )
          (instance mul16_w_madd_4_cry_7_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x5006"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x300A"))
          )
          (instance mul16_w_madd_4_cry_5_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x9096"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x5006"))
          )
          (instance mul16_w_madd_4_cry_3_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x5006"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0xB0B4"))
          )
          (instance mul16_w_madd_4_cry_1_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x9096"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0xB0B4"))
          )
          (instance mul16_w_madd_4_cry_0_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x300A"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0xB0B4"))
          )
          (instance mul16_w_madd_5_s_11_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x300A"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x300A"))
          )
          (instance mul16_w_madd_5_cry_9_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x5006"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x300A"))
          )
          (instance mul16_w_madd_5_cry_7_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x5006"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x5006"))
          )
          (instance mul16_w_madd_5_cry_5_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x5006"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x5006"))
          )
          (instance mul16_w_madd_5_cry_3_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x5006"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x5006"))
          )
          (instance mul16_w_madd_5_cry_2_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x300A"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x5006"))
          )
          (instance mul16_w_madd_s_11_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x300A"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x300A"))
          )
          (instance mul16_w_madd_cry_9_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x300A"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x300A"))
          )
          (instance mul16_w_madd_cry_7_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x5006"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x5006"))
          )
          (instance mul16_w_madd_cry_5_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x5006"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x5006"))
          )
          (instance mul16_w_madd_cry_3_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x5006"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x5006"))
          )
          (instance mul16_w_madd_cry_1_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x5096"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x5096"))
          )
          (instance mul16_w_madd_cry_0_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x300A"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x5006"))
          )
          (instance mul16_w_madd_0_cry_7_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x7008"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x300A"))
          )
          (instance mul16_w_madd_0_cry_5_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x7888"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x7888"))
          )
          (instance mul16_w_madd_0_cry_3_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x7888"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x7888"))
          )
          (instance mul16_w_madd_0_cry_1_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x7888"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x7888"))
          )
          (instance mul16_w_madd_0_cry_0_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x300A"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0xB444"))
          )
          (instance mul16_w_madd_1_cry_8_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x7008"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x300A"))
          )
          (instance mul16_w_madd_1_cry_6_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x7888"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x7888"))
          )
          (instance mul16_w_madd_1_cry_4_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x7888"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x7888"))
          )
          (instance mul16_w_madd_1_cry_2_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x7888"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x7888"))
          )
          (instance mul16_w_madd_1_cry_1_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x300A"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0xB444"))
          )
          (instance mul16_w_madd_2_cry_8_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x7008"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x300A"))
          )
          (instance mul16_w_madd_2_cry_6_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x7888"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x7888"))
          )
          (instance mul16_w_madd_2_cry_4_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x7888"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x7888"))
          )
          (instance mul16_w_madd_2_cry_2_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x7888"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x7888"))
          )
          (instance mul16_w_madd_2_cry_1_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x300A"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0xB444"))
          )
          (instance mul16_w_madd_3_cry_8_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x7008"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x300A"))
          )
          (instance mul16_w_madd_3_cry_6_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x7888"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x7888"))
          )
          (instance mul16_w_madd_3_cry_4_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x7888"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x7888"))
          )
          (instance mul16_w_madd_3_cry_2_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x7888"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x7888"))
          )
          (instance mul16_w_madd_3_cry_1_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x300A"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0xB444"))
          )
          (instance GND (viewRef PRIM (cellRef VLO (libraryRef LUCENT)))          )
          (instance VCC (viewRef PRIM (cellRef VHI (libraryRef LUCENT)))          )
          (net state_23_0_iv_352_a7_0_0 (joined
           (portRef Z (instanceRef state_23_0_iv_352_a7_0_0))
           (portRef D (instanceRef state_23_0_iv_352))
          ))
          (net (rename state_o_c_1 "state_o_c[1]") (joined
           (portRef (member state_o_c 4))
           (portRef B (instanceRef un1_state_18_1))
           (portRef C (instanceRef k_eahi_11_iv_0_604_i_m3))
           (portRef C (instanceRef k_eahi_11_iv_0_5_478_i_m3))
           (portRef C (instanceRef k_eahi_11_iv_0_0_583_i_m3))
           (portRef C (instanceRef un1_state_40))
           (portRef B (instanceRef k_cpu_we_3_1_tz))
           (portRef D (instanceRef un1_state_76_1))
           (portRef B (instanceRef state_23_0_iv_352_o7_1))
           (portRef D (instanceRef k_cpu_data_o_7_0_RNI6EQ81_1))
           (portRef D (instanceRef k_cpu_data_o_7_0_RNIFP951_2))
           (portRef D (instanceRef k_cpu_data_o_7_0_RNIHR951_3))
           (portRef D (instanceRef k_cpu_data_o_7_0_RNIJT951_4))
           (portRef D (instanceRef k_cpu_data_o_7_0_RNILV951_5))
           (portRef D (instanceRef k_cpu_data_o_7_0_RNIP3A51_7))
           (portRef C (instanceRef un1_state_18))
           (portRef C (instanceRef un1_state_21))
           (portRef C (instanceRef un1_state_38))
           (portRef D (instanceRef un1_state_43))
           (portRef D (instanceRef un1_state_97_4_RNO))
           (portRef D (instanceRef k_cpu_oe_3_RNO))
           (portRef D (instanceRef un1_state_87_1_RNO))
           (portRef C (instanceRef un1_state_30_0))
           (portRef D (instanceRef un1_state_57_0))
           (portRef B (instanceRef k_cpu_oe_3_2_RNO))
           (portRef C (instanceRef un1_state_23_0))
           (portRef C (instanceRef next_mem_state_8s2_0_411_i_0))
           (portRef D (instanceRef un1_state_24_0))
           (portRef B (instanceRef un1_state_20_0))
           (portRef D (instanceRef state_23_0_iv_352_a7_4_1))
           (portRef D (instanceRef state_23_0_iv_352_a7_1_0_0))
           (portRef C (instanceRef state_23_0_iv_352_a7_0_0))
          ))
          (net state_23_0_iv_352_a7_1_0_0 (joined
           (portRef Z (instanceRef state_23_0_iv_352_a7_1_0_0))
           (portRef B (instanceRef state_23_0_iv_352_a7_1))
          ))
          (net (rename state_o_c_2 "state_o_c[2]") (joined
           (portRef (member state_o_c 3))
           (portRef C (instanceRef un1_state_25))
           (portRef D (instanceRef k_clear_e_3))
           (portRef C (instanceRef k_cpu_we_3_1_tz))
           (portRef C (instanceRef state_23_0_iv_352_m2_am))
           (portRef C (instanceRef un1_state_43))
           (portRef C (instanceRef un1_state_87_1_RNO))
           (portRef B (instanceRef un1_state_30_0))
           (portRef C (instanceRef un1_state_57_0))
           (portRef C (instanceRef k_cpu_oe_3_2_RNO))
           (portRef C (instanceRef un1_state_24_0))
           (portRef A (instanceRef state_23_0_iv_352_a7_4_1))
           (portRef B (instanceRef state_23_0_iv_352_a7_0_0))
          ))
          (net (rename state_o_c_5 "state_o_c[5]") (joined
           (portRef (member state_o_c 0))
           (portRef D (instanceRef k_cpu_oe_3_0_1))
           (portRef D (instanceRef un1_state_55))
           (portRef D (instanceRef un1_state_42))
           (portRef D (instanceRef un1_state_56))
           (portRef D (instanceRef k_cpu_we_3_1_tz))
           (portRef C (instanceRef k_cpu_oe_3_2))
           (portRef D (instanceRef state_23_0_iv_352_o7_1))
           (portRef D (instanceRef N_1470_i))
           (portRef C (instanceRef un1_state_73))
           (portRef A (instanceRef un1_state_84))
           (portRef B (instanceRef un1_state_60))
           (portRef C (instanceRef un1_state_97_4_RNO))
           (portRef A (instanceRef un1_state_87_1_RNO))
           (portRef A (instanceRef un1_state_87_1_RNO_0))
           (portRef C (instanceRef state_23_0_iv_352_a7_4_1))
           (portRef A (instanceRef state_23_0_iv_352_a7_1_0_0))
          ))
          (net state_23_0_iv_352_a7_4_1 (joined
           (portRef Z (instanceRef state_23_0_iv_352_a7_4_1))
           (portRef B (instanceRef state_23_0_iv_352_0))
          ))
          (net (rename state_o_c_0 "state_o_c[0]") (joined
           (portRef (member state_o_c 5))
           (portRef C (instanceRef k_cpu_oe_3_0_1))
           (portRef A (instanceRef un1_state_18_1))
           (portRef C (instanceRef un1_state_32))
           (portRef C (instanceRef k_clear_e_3))
           (portRef C (instanceRef un1_state_42))
           (portRef C (instanceRef un1_state_56))
           (portRef A (instanceRef k_cpu_we_3_1_tz))
           (portRef B (instanceRef un1_state_87_1_a6_1))
           (portRef C (instanceRef un1_state_19))
           (portRef C (instanceRef un1_state_76_1))
           (portRef C (instanceRef state_23_0_iv_352_m2_bm))
           (portRef B (instanceRef state_23_0_iv_352_m2_am))
           (portRef D (instanceRef state_23_0_iv_352_a7_1))
           (portRef D (instanceRef un1_state_18))
           (portRef D (instanceRef un1_state_21))
           (portRef D (instanceRef un1_state_38))
           (portRef D (instanceRef un1_state_30_0))
           (portRef B (instanceRef un1_state_57_0))
           (portRef D (instanceRef k_cpu_oe_3_2_RNO))
           (portRef B (instanceRef un1_state_24_0))
           (portRef C (instanceRef un1_state_20_0))
           (portRef A (instanceRef state_23_0_iv_352_a7_0_0))
          ))
          (net GND (joined
           (portRef Z (instanceRef GND))
           (portRef D0 (instanceRef mul16_w_madd_3_cry_1_0))
           (portRef C0 (instanceRef mul16_w_madd_3_cry_1_0))
           (portRef B0 (instanceRef mul16_w_madd_3_cry_1_0))
           (portRef A0 (instanceRef mul16_w_madd_3_cry_1_0))
           (portRef D1 (instanceRef mul16_w_madd_3_cry_8_0))
           (portRef C1 (instanceRef mul16_w_madd_3_cry_8_0))
           (portRef B1 (instanceRef mul16_w_madd_3_cry_8_0))
           (portRef A1 (instanceRef mul16_w_madd_3_cry_8_0))
           (portRef D0 (instanceRef mul16_w_madd_3_cry_8_0))
           (portRef C0 (instanceRef mul16_w_madd_3_cry_8_0))
           (portRef D0 (instanceRef mul16_w_madd_2_cry_1_0))
           (portRef C0 (instanceRef mul16_w_madd_2_cry_1_0))
           (portRef B0 (instanceRef mul16_w_madd_2_cry_1_0))
           (portRef A0 (instanceRef mul16_w_madd_2_cry_1_0))
           (portRef D1 (instanceRef mul16_w_madd_2_cry_8_0))
           (portRef C1 (instanceRef mul16_w_madd_2_cry_8_0))
           (portRef B1 (instanceRef mul16_w_madd_2_cry_8_0))
           (portRef A1 (instanceRef mul16_w_madd_2_cry_8_0))
           (portRef D0 (instanceRef mul16_w_madd_2_cry_8_0))
           (portRef C0 (instanceRef mul16_w_madd_2_cry_8_0))
           (portRef D0 (instanceRef mul16_w_madd_1_cry_1_0))
           (portRef C0 (instanceRef mul16_w_madd_1_cry_1_0))
           (portRef B0 (instanceRef mul16_w_madd_1_cry_1_0))
           (portRef A0 (instanceRef mul16_w_madd_1_cry_1_0))
           (portRef D1 (instanceRef mul16_w_madd_1_cry_8_0))
           (portRef C1 (instanceRef mul16_w_madd_1_cry_8_0))
           (portRef B1 (instanceRef mul16_w_madd_1_cry_8_0))
           (portRef A1 (instanceRef mul16_w_madd_1_cry_8_0))
           (portRef D0 (instanceRef mul16_w_madd_1_cry_8_0))
           (portRef C0 (instanceRef mul16_w_madd_1_cry_8_0))
           (portRef D0 (instanceRef mul16_w_madd_0_cry_0_0))
           (portRef C0 (instanceRef mul16_w_madd_0_cry_0_0))
           (portRef B0 (instanceRef mul16_w_madd_0_cry_0_0))
           (portRef A0 (instanceRef mul16_w_madd_0_cry_0_0))
           (portRef D1 (instanceRef mul16_w_madd_0_cry_7_0))
           (portRef C1 (instanceRef mul16_w_madd_0_cry_7_0))
           (portRef B1 (instanceRef mul16_w_madd_0_cry_7_0))
           (portRef A1 (instanceRef mul16_w_madd_0_cry_7_0))
           (portRef D0 (instanceRef mul16_w_madd_0_cry_7_0))
           (portRef C0 (instanceRef mul16_w_madd_0_cry_7_0))
           (portRef D1 (instanceRef mul16_w_madd_cry_0_0))
           (portRef C1 (instanceRef mul16_w_madd_cry_0_0))
           (portRef D0 (instanceRef mul16_w_madd_cry_0_0))
           (portRef C0 (instanceRef mul16_w_madd_cry_0_0))
           (portRef B0 (instanceRef mul16_w_madd_cry_0_0))
           (portRef A0 (instanceRef mul16_w_madd_cry_0_0))
           (portRef D1 (instanceRef mul16_w_madd_cry_1_0))
           (portRef D0 (instanceRef mul16_w_madd_cry_1_0))
           (portRef D1 (instanceRef mul16_w_madd_cry_3_0))
           (portRef C1 (instanceRef mul16_w_madd_cry_3_0))
           (portRef D0 (instanceRef mul16_w_madd_cry_3_0))
           (portRef C0 (instanceRef mul16_w_madd_cry_3_0))
           (portRef D1 (instanceRef mul16_w_madd_cry_5_0))
           (portRef C1 (instanceRef mul16_w_madd_cry_5_0))
           (portRef D0 (instanceRef mul16_w_madd_cry_5_0))
           (portRef C0 (instanceRef mul16_w_madd_cry_5_0))
           (portRef D1 (instanceRef mul16_w_madd_cry_7_0))
           (portRef C1 (instanceRef mul16_w_madd_cry_7_0))
           (portRef D0 (instanceRef mul16_w_madd_cry_7_0))
           (portRef C0 (instanceRef mul16_w_madd_cry_7_0))
           (portRef D1 (instanceRef mul16_w_madd_cry_9_0))
           (portRef C1 (instanceRef mul16_w_madd_cry_9_0))
           (portRef B1 (instanceRef mul16_w_madd_cry_9_0))
           (portRef D0 (instanceRef mul16_w_madd_cry_9_0))
           (portRef C0 (instanceRef mul16_w_madd_cry_9_0))
           (portRef B0 (instanceRef mul16_w_madd_cry_9_0))
           (portRef D1 (instanceRef mul16_w_madd_s_11_0))
           (portRef C1 (instanceRef mul16_w_madd_s_11_0))
           (portRef B1 (instanceRef mul16_w_madd_s_11_0))
           (portRef A1 (instanceRef mul16_w_madd_s_11_0))
           (portRef D0 (instanceRef mul16_w_madd_s_11_0))
           (portRef C0 (instanceRef mul16_w_madd_s_11_0))
           (portRef B0 (instanceRef mul16_w_madd_s_11_0))
           (portRef D1 (instanceRef mul16_w_madd_5_cry_2_0))
           (portRef C1 (instanceRef mul16_w_madd_5_cry_2_0))
           (portRef D0 (instanceRef mul16_w_madd_5_cry_2_0))
           (portRef C0 (instanceRef mul16_w_madd_5_cry_2_0))
           (portRef B0 (instanceRef mul16_w_madd_5_cry_2_0))
           (portRef A0 (instanceRef mul16_w_madd_5_cry_2_0))
           (portRef D1 (instanceRef mul16_w_madd_5_cry_3_0))
           (portRef C1 (instanceRef mul16_w_madd_5_cry_3_0))
           (portRef D0 (instanceRef mul16_w_madd_5_cry_3_0))
           (portRef C0 (instanceRef mul16_w_madd_5_cry_3_0))
           (portRef D1 (instanceRef mul16_w_madd_5_cry_5_0))
           (portRef C1 (instanceRef mul16_w_madd_5_cry_5_0))
           (portRef D0 (instanceRef mul16_w_madd_5_cry_5_0))
           (portRef C0 (instanceRef mul16_w_madd_5_cry_5_0))
           (portRef D1 (instanceRef mul16_w_madd_5_cry_7_0))
           (portRef C1 (instanceRef mul16_w_madd_5_cry_7_0))
           (portRef D0 (instanceRef mul16_w_madd_5_cry_7_0))
           (portRef C0 (instanceRef mul16_w_madd_5_cry_7_0))
           (portRef D1 (instanceRef mul16_w_madd_5_cry_9_0))
           (portRef C1 (instanceRef mul16_w_madd_5_cry_9_0))
           (portRef B1 (instanceRef mul16_w_madd_5_cry_9_0))
           (portRef D0 (instanceRef mul16_w_madd_5_cry_9_0))
           (portRef C0 (instanceRef mul16_w_madd_5_cry_9_0))
           (portRef D1 (instanceRef mul16_w_madd_5_s_11_0))
           (portRef C1 (instanceRef mul16_w_madd_5_s_11_0))
           (portRef B1 (instanceRef mul16_w_madd_5_s_11_0))
           (portRef A1 (instanceRef mul16_w_madd_5_s_11_0))
           (portRef D0 (instanceRef mul16_w_madd_5_s_11_0))
           (portRef C0 (instanceRef mul16_w_madd_5_s_11_0))
           (portRef B0 (instanceRef mul16_w_madd_5_s_11_0))
           (portRef D1 (instanceRef mul16_w_madd_4_cry_0_0))
           (portRef D0 (instanceRef mul16_w_madd_4_cry_0_0))
           (portRef C0 (instanceRef mul16_w_madd_4_cry_0_0))
           (portRef B0 (instanceRef mul16_w_madd_4_cry_0_0))
           (portRef A0 (instanceRef mul16_w_madd_4_cry_0_0))
           (portRef D1 (instanceRef mul16_w_madd_4_cry_1_0))
           (portRef D0 (instanceRef mul16_w_madd_4_cry_1_0))
           (portRef D1 (instanceRef mul16_w_madd_4_cry_3_0))
           (portRef D0 (instanceRef mul16_w_madd_4_cry_3_0))
           (portRef C0 (instanceRef mul16_w_madd_4_cry_3_0))
           (portRef D1 (instanceRef mul16_w_madd_4_cry_5_0))
           (portRef C1 (instanceRef mul16_w_madd_4_cry_5_0))
           (portRef D0 (instanceRef mul16_w_madd_4_cry_5_0))
           (portRef D1 (instanceRef mul16_w_madd_4_cry_7_0))
           (portRef C1 (instanceRef mul16_w_madd_4_cry_7_0))
           (portRef B1 (instanceRef mul16_w_madd_4_cry_7_0))
           (portRef D0 (instanceRef mul16_w_madd_4_cry_7_0))
           (portRef C0 (instanceRef mul16_w_madd_4_cry_7_0))
           (portRef D1 (instanceRef mul16_w_madd_4_cry_9_0))
           (portRef C1 (instanceRef mul16_w_madd_4_cry_9_0))
           (portRef B1 (instanceRef mul16_w_madd_4_cry_9_0))
           (portRef A1 (instanceRef mul16_w_madd_4_cry_9_0))
           (portRef D0 (instanceRef mul16_w_madd_4_cry_9_0))
           (portRef C0 (instanceRef mul16_w_madd_4_cry_9_0))
           (portRef B0 (instanceRef mul16_w_madd_4_cry_9_0))
           (portRef D0 (instanceRef sbc8_w_cry_0_0))
           (portRef C0 (instanceRef sbc8_w_cry_0_0))
           (portRef A0 (instanceRef sbc8_w_cry_0_0))
           (portRef D1 (instanceRef sbc8_w_cry_1_0))
           (portRef C1 (instanceRef sbc8_w_cry_1_0))
           (portRef D0 (instanceRef sbc8_w_cry_1_0))
           (portRef C0 (instanceRef sbc8_w_cry_1_0))
           (portRef D1 (instanceRef sbc8_w_cry_3_0))
           (portRef C1 (instanceRef sbc8_w_cry_3_0))
           (portRef D0 (instanceRef sbc8_w_cry_3_0))
           (portRef C0 (instanceRef sbc8_w_cry_3_0))
           (portRef D1 (instanceRef sbc8_w_cry_5_0))
           (portRef C1 (instanceRef sbc8_w_cry_5_0))
           (portRef D0 (instanceRef sbc8_w_cry_5_0))
           (portRef C0 (instanceRef sbc8_w_cry_5_0))
           (portRef D1 (instanceRef sbc8_w_cry_7_0))
           (portRef C1 (instanceRef sbc8_w_cry_7_0))
           (portRef B1 (instanceRef sbc8_w_cry_7_0))
           (portRef D0 (instanceRef sbc8_w_cry_7_0))
           (portRef C0 (instanceRef sbc8_w_cry_7_0))
           (portRef D0 (instanceRef sbc16_w_cry_0_0))
           (portRef C0 (instanceRef sbc16_w_cry_0_0))
           (portRef A0 (instanceRef sbc16_w_cry_0_0))
           (portRef D1 (instanceRef sbc16_w_cry_1_0))
           (portRef C1 (instanceRef sbc16_w_cry_1_0))
           (portRef D0 (instanceRef sbc16_w_cry_1_0))
           (portRef C0 (instanceRef sbc16_w_cry_1_0))
           (portRef D1 (instanceRef sbc16_w_cry_3_0))
           (portRef C1 (instanceRef sbc16_w_cry_3_0))
           (portRef D0 (instanceRef sbc16_w_cry_3_0))
           (portRef C0 (instanceRef sbc16_w_cry_3_0))
           (portRef D1 (instanceRef sbc16_w_cry_5_0))
           (portRef C1 (instanceRef sbc16_w_cry_5_0))
           (portRef D0 (instanceRef sbc16_w_cry_5_0))
           (portRef C0 (instanceRef sbc16_w_cry_5_0))
           (portRef D1 (instanceRef sbc16_w_cry_7_0))
           (portRef C1 (instanceRef sbc16_w_cry_7_0))
           (portRef D0 (instanceRef sbc16_w_cry_7_0))
           (portRef C0 (instanceRef sbc16_w_cry_7_0))
           (portRef D1 (instanceRef sbc16_w_cry_9_0))
           (portRef C1 (instanceRef sbc16_w_cry_9_0))
           (portRef D0 (instanceRef sbc16_w_cry_9_0))
           (portRef C0 (instanceRef sbc16_w_cry_9_0))
           (portRef D1 (instanceRef sbc16_w_cry_11_0))
           (portRef C1 (instanceRef sbc16_w_cry_11_0))
           (portRef D0 (instanceRef sbc16_w_cry_11_0))
           (portRef C0 (instanceRef sbc16_w_cry_11_0))
           (portRef D1 (instanceRef sbc16_w_cry_13_0))
           (portRef C1 (instanceRef sbc16_w_cry_13_0))
           (portRef D0 (instanceRef sbc16_w_cry_13_0))
           (portRef C0 (instanceRef sbc16_w_cry_13_0))
           (portRef D1 (instanceRef sbc16_w_cry_15_0))
           (portRef C1 (instanceRef sbc16_w_cry_15_0))
           (portRef B1 (instanceRef sbc16_w_cry_15_0))
           (portRef D0 (instanceRef sbc16_w_cry_15_0))
           (portRef C0 (instanceRef sbc16_w_cry_15_0))
           (portRef D1 (instanceRef sub16_w_cry_0_0))
           (portRef D0 (instanceRef sub16_w_cry_0_0))
           (portRef C0 (instanceRef sub16_w_cry_0_0))
           (portRef A0 (instanceRef sub16_w_cry_0_0))
           (portRef D1 (instanceRef sub16_w_cry_1_0))
           (portRef C1 (instanceRef sub16_w_cry_1_0))
           (portRef D0 (instanceRef sub16_w_cry_1_0))
           (portRef C0 (instanceRef sub16_w_cry_1_0))
           (portRef D1 (instanceRef sub16_w_cry_3_0))
           (portRef C1 (instanceRef sub16_w_cry_3_0))
           (portRef D0 (instanceRef sub16_w_cry_3_0))
           (portRef C0 (instanceRef sub16_w_cry_3_0))
           (portRef D1 (instanceRef sub16_w_cry_5_0))
           (portRef C1 (instanceRef sub16_w_cry_5_0))
           (portRef D0 (instanceRef sub16_w_cry_5_0))
           (portRef C0 (instanceRef sub16_w_cry_5_0))
           (portRef D1 (instanceRef sub16_w_cry_7_0))
           (portRef D0 (instanceRef sub16_w_cry_7_0))
           (portRef C0 (instanceRef sub16_w_cry_7_0))
           (portRef D1 (instanceRef sub16_w_cry_9_0))
           (portRef D0 (instanceRef sub16_w_cry_9_0))
           (portRef D1 (instanceRef sub16_w_cry_11_0))
           (portRef D0 (instanceRef sub16_w_cry_11_0))
           (portRef D1 (instanceRef sub16_w_cry_13_0))
           (portRef D0 (instanceRef sub16_w_cry_13_0))
           (portRef D1 (instanceRef sub16_w_cry_15_0))
           (portRef C1 (instanceRef sub16_w_cry_15_0))
           (portRef B1 (instanceRef sub16_w_cry_15_0))
           (portRef D0 (instanceRef sub16_w_cry_15_0))
           (portRef D1 (instanceRef adc16_w_cry_0_0))
           (portRef D0 (instanceRef adc16_w_cry_0_0))
           (portRef C0 (instanceRef adc16_w_cry_0_0))
           (portRef A0 (instanceRef adc16_w_cry_0_0))
           (portRef D1 (instanceRef adc16_w_cry_1_0))
           (portRef C1 (instanceRef adc16_w_cry_1_0))
           (portRef D0 (instanceRef adc16_w_cry_1_0))
           (portRef C0 (instanceRef adc16_w_cry_1_0))
           (portRef D1 (instanceRef adc16_w_cry_3_0))
           (portRef C1 (instanceRef adc16_w_cry_3_0))
           (portRef D0 (instanceRef adc16_w_cry_3_0))
           (portRef C0 (instanceRef adc16_w_cry_3_0))
           (portRef D1 (instanceRef adc16_w_cry_5_0))
           (portRef C1 (instanceRef adc16_w_cry_5_0))
           (portRef D0 (instanceRef adc16_w_cry_5_0))
           (portRef C0 (instanceRef adc16_w_cry_5_0))
           (portRef D1 (instanceRef adc16_w_cry_7_0))
           (portRef C1 (instanceRef adc16_w_cry_7_0))
           (portRef D0 (instanceRef adc16_w_cry_7_0))
           (portRef C0 (instanceRef adc16_w_cry_7_0))
           (portRef D1 (instanceRef adc16_w_cry_9_0))
           (portRef C1 (instanceRef adc16_w_cry_9_0))
           (portRef D0 (instanceRef adc16_w_cry_9_0))
           (portRef C0 (instanceRef adc16_w_cry_9_0))
           (portRef D1 (instanceRef adc16_w_cry_11_0))
           (portRef C1 (instanceRef adc16_w_cry_11_0))
           (portRef D0 (instanceRef adc16_w_cry_11_0))
           (portRef C0 (instanceRef adc16_w_cry_11_0))
           (portRef D1 (instanceRef adc16_w_cry_13_0))
           (portRef C1 (instanceRef adc16_w_cry_13_0))
           (portRef D0 (instanceRef adc16_w_cry_13_0))
           (portRef C0 (instanceRef adc16_w_cry_13_0))
           (portRef D1 (instanceRef adc16_w_s_15_0))
           (portRef C1 (instanceRef adc16_w_s_15_0))
           (portRef B1 (instanceRef adc16_w_s_15_0))
           (portRef A1 (instanceRef adc16_w_s_15_0))
           (portRef D0 (instanceRef adc16_w_s_15_0))
           (portRef C0 (instanceRef adc16_w_s_15_0))
           (portRef D1 (instanceRef add8_w_cry_0_0))
           (portRef D0 (instanceRef add8_w_cry_0_0))
           (portRef C0 (instanceRef add8_w_cry_0_0))
           (portRef B0 (instanceRef add8_w_cry_0_0))
           (portRef A0 (instanceRef add8_w_cry_0_0))
           (portRef D1 (instanceRef add8_w_cry_1_0))
           (portRef C1 (instanceRef add8_w_cry_1_0))
           (portRef D0 (instanceRef add8_w_cry_1_0))
           (portRef C0 (instanceRef add8_w_cry_1_0))
           (portRef D1 (instanceRef add8_w_cry_3_0))
           (portRef C1 (instanceRef add8_w_cry_3_0))
           (portRef D0 (instanceRef add8_w_cry_3_0))
           (portRef C0 (instanceRef add8_w_cry_3_0))
           (portRef D1 (instanceRef add8_w_cry_5_0))
           (portRef C1 (instanceRef add8_w_cry_5_0))
           (portRef D0 (instanceRef add8_w_cry_5_0))
           (portRef C0 (instanceRef add8_w_cry_5_0))
           (portRef D1 (instanceRef add8_w_cry_7_0))
           (portRef C1 (instanceRef add8_w_cry_7_0))
           (portRef B1 (instanceRef add8_w_cry_7_0))
           (portRef A1 (instanceRef add8_w_cry_7_0))
           (portRef D0 (instanceRef add8_w_cry_7_0))
           (portRef C0 (instanceRef add8_w_cry_7_0))
           (portRef D1 (instanceRef sub8_w_cry_0_0))
           (portRef D0 (instanceRef sub8_w_cry_0_0))
           (portRef C0 (instanceRef sub8_w_cry_0_0))
           (portRef A0 (instanceRef sub8_w_cry_0_0))
           (portRef D1 (instanceRef sub8_w_cry_1_0))
           (portRef D0 (instanceRef sub8_w_cry_1_0))
           (portRef D1 (instanceRef sub8_w_cry_3_0))
           (portRef D0 (instanceRef sub8_w_cry_3_0))
           (portRef D1 (instanceRef sub8_w_cry_5_0))
           (portRef D0 (instanceRef sub8_w_cry_5_0))
           (portRef D1 (instanceRef sub8_w_cry_7_0))
           (portRef C1 (instanceRef sub8_w_cry_7_0))
           (portRef B1 (instanceRef sub8_w_cry_7_0))
           (portRef D0 (instanceRef sub8_w_cry_7_0))
           (portRef D1 (instanceRef add16_w_cry_0_0))
           (portRef D0 (instanceRef add16_w_cry_0_0))
           (portRef C0 (instanceRef add16_w_cry_0_0))
           (portRef B0 (instanceRef add16_w_cry_0_0))
           (portRef A0 (instanceRef add16_w_cry_0_0))
           (portRef D1 (instanceRef add16_w_cry_1_0))
           (portRef C1 (instanceRef add16_w_cry_1_0))
           (portRef D0 (instanceRef add16_w_cry_1_0))
           (portRef C0 (instanceRef add16_w_cry_1_0))
           (portRef D1 (instanceRef add16_w_cry_3_0))
           (portRef C1 (instanceRef add16_w_cry_3_0))
           (portRef D0 (instanceRef add16_w_cry_3_0))
           (portRef C0 (instanceRef add16_w_cry_3_0))
           (portRef D1 (instanceRef add16_w_cry_5_0))
           (portRef C1 (instanceRef add16_w_cry_5_0))
           (portRef D0 (instanceRef add16_w_cry_5_0))
           (portRef C0 (instanceRef add16_w_cry_5_0))
           (portRef D1 (instanceRef add16_w_cry_7_0))
           (portRef C1 (instanceRef add16_w_cry_7_0))
           (portRef D0 (instanceRef add16_w_cry_7_0))
           (portRef C0 (instanceRef add16_w_cry_7_0))
           (portRef D1 (instanceRef add16_w_cry_9_0))
           (portRef C1 (instanceRef add16_w_cry_9_0))
           (portRef D0 (instanceRef add16_w_cry_9_0))
           (portRef C0 (instanceRef add16_w_cry_9_0))
           (portRef D1 (instanceRef add16_w_cry_11_0))
           (portRef C1 (instanceRef add16_w_cry_11_0))
           (portRef D0 (instanceRef add16_w_cry_11_0))
           (portRef C0 (instanceRef add16_w_cry_11_0))
           (portRef D1 (instanceRef add16_w_cry_13_0))
           (portRef C1 (instanceRef add16_w_cry_13_0))
           (portRef D0 (instanceRef add16_w_cry_13_0))
           (portRef C0 (instanceRef add16_w_cry_13_0))
           (portRef D1 (instanceRef add16_w_cry_15_0))
           (portRef C1 (instanceRef add16_w_cry_15_0))
           (portRef B1 (instanceRef add16_w_cry_15_0))
           (portRef A1 (instanceRef add16_w_cry_15_0))
           (portRef D0 (instanceRef add16_w_cry_15_0))
           (portRef C0 (instanceRef add16_w_cry_15_0))
           (portRef D1 (instanceRef neg16_w_cry_0_0))
           (portRef C1 (instanceRef neg16_w_cry_0_0))
           (portRef D0 (instanceRef neg16_w_cry_0_0))
           (portRef C0 (instanceRef neg16_w_cry_0_0))
           (portRef A0 (instanceRef neg16_w_cry_0_0))
           (portRef D1 (instanceRef neg16_w_cry_1_0))
           (portRef C1 (instanceRef neg16_w_cry_1_0))
           (portRef B1 (instanceRef neg16_w_cry_1_0))
           (portRef D0 (instanceRef neg16_w_cry_1_0))
           (portRef C0 (instanceRef neg16_w_cry_1_0))
           (portRef B0 (instanceRef neg16_w_cry_1_0))
           (portRef D1 (instanceRef neg16_w_cry_3_0))
           (portRef C1 (instanceRef neg16_w_cry_3_0))
           (portRef B1 (instanceRef neg16_w_cry_3_0))
           (portRef D0 (instanceRef neg16_w_cry_3_0))
           (portRef C0 (instanceRef neg16_w_cry_3_0))
           (portRef B0 (instanceRef neg16_w_cry_3_0))
           (portRef D1 (instanceRef neg16_w_cry_5_0))
           (portRef C1 (instanceRef neg16_w_cry_5_0))
           (portRef B1 (instanceRef neg16_w_cry_5_0))
           (portRef D0 (instanceRef neg16_w_cry_5_0))
           (portRef C0 (instanceRef neg16_w_cry_5_0))
           (portRef B0 (instanceRef neg16_w_cry_5_0))
           (portRef D1 (instanceRef neg16_w_cry_7_0))
           (portRef C1 (instanceRef neg16_w_cry_7_0))
           (portRef B1 (instanceRef neg16_w_cry_7_0))
           (portRef D0 (instanceRef neg16_w_cry_7_0))
           (portRef C0 (instanceRef neg16_w_cry_7_0))
           (portRef B0 (instanceRef neg16_w_cry_7_0))
           (portRef D1 (instanceRef neg16_w_cry_9_0))
           (portRef C1 (instanceRef neg16_w_cry_9_0))
           (portRef B1 (instanceRef neg16_w_cry_9_0))
           (portRef D0 (instanceRef neg16_w_cry_9_0))
           (portRef C0 (instanceRef neg16_w_cry_9_0))
           (portRef B0 (instanceRef neg16_w_cry_9_0))
           (portRef D1 (instanceRef neg16_w_cry_11_0))
           (portRef C1 (instanceRef neg16_w_cry_11_0))
           (portRef B1 (instanceRef neg16_w_cry_11_0))
           (portRef D0 (instanceRef neg16_w_cry_11_0))
           (portRef C0 (instanceRef neg16_w_cry_11_0))
           (portRef B0 (instanceRef neg16_w_cry_11_0))
           (portRef D1 (instanceRef neg16_w_cry_13_0))
           (portRef C1 (instanceRef neg16_w_cry_13_0))
           (portRef B1 (instanceRef neg16_w_cry_13_0))
           (portRef D0 (instanceRef neg16_w_cry_13_0))
           (portRef C0 (instanceRef neg16_w_cry_13_0))
           (portRef B0 (instanceRef neg16_w_cry_13_0))
           (portRef D1 (instanceRef neg16_w_s_15_0))
           (portRef C1 (instanceRef neg16_w_s_15_0))
           (portRef B1 (instanceRef neg16_w_s_15_0))
           (portRef A1 (instanceRef neg16_w_s_15_0))
           (portRef D0 (instanceRef neg16_w_s_15_0))
          ))
          (net (rename state_o_c_3 "state_o_c[3]") (joined
           (portRef (member state_o_c 2))
           (portRef D (instanceRef un1_state_32))
           (portRef D (instanceRef un1_state_40))
           (portRef C (instanceRef un1_state_55))
           (portRef D (instanceRef un1_state_25))
           (portRef D (instanceRef state_23_0_iv_352_a7_3))
           (portRef C (instanceRef un1_state_87_1_a6_1))
           (portRef D (instanceRef un1_state_19))
           (portRef C (instanceRef N_1532_i))
           (portRef C (instanceRef N_1627_i))
           (portRef C (instanceRef N_1646_i))
           (portRef C0 (instanceRef state_23_0_iv_352_m2))
           (portRef C (instanceRef state_23_0_iv_352_o7_1))
           (portRef D (instanceRef un1_state_84))
           (portRef C (instanceRef k_cpu_we_3_1_tz_RNINPF01))
           (portRef C (instanceRef k_cpu_oe_3_RNO))
           (portRef B (instanceRef un1_state_87_1_RNO_0))
           (portRef A (instanceRef un1_state_30_0))
           (portRef A (instanceRef un1_state_57_0))
           (portRef A (instanceRef k_cpu_oe_3_2_RNO))
           (portRef B (instanceRef un1_state_23_0))
           (portRef A (instanceRef next_mem_state_8s2_0_411_i_0))
           (portRef A (instanceRef un1_state_24_0))
           (portRef C (instanceRef state_23_0_iv_352_a7_1_0_0))
          ))
          (net (rename state_o_c_4 "state_o_c[4]") (joined
           (portRef (member state_o_c 1))
           (portRef D (instanceRef un1_state_87_1_a6_1))
           (portRef B (instanceRef k_cpu_oe_3_2))
           (portRef D (instanceRef N_1532_i))
           (portRef D (instanceRef N_1627_i))
           (portRef D (instanceRef N_1646_i))
           (portRef D (instanceRef state_23_0_iv_352_0))
           (portRef D (instanceRef state_23_0_iv_352_m2_bm))
           (portRef D (instanceRef state_23_0_iv_352_m2_am))
           (portRef C (instanceRef k_cpu_data_o_7_0_7))
           (portRef C (instanceRef k_cpu_data_o_7_0_5))
           (portRef C (instanceRef k_cpu_data_o_7_0_4))
           (portRef C (instanceRef k_cpu_data_o_7_0_3))
           (portRef C (instanceRef k_cpu_data_o_7_0_2))
           (portRef C (instanceRef k_cpu_data_o_7_0_1))
           (portRef C (instanceRef k_cpu_data_o_7_am_6))
           (portRef D (instanceRef k_cpu_data_o_7_am_0))
           (portRef C (instanceRef k_cpu_data_o_7_0_RNI6EQ81_1))
           (portRef C (instanceRef k_cpu_data_o_7_0_RNIFP951_2))
           (portRef C (instanceRef k_cpu_data_o_7_0_RNIHR951_3))
           (portRef C (instanceRef k_cpu_data_o_7_0_RNIJT951_4))
           (portRef C (instanceRef k_cpu_data_o_7_0_RNILV951_5))
           (portRef C (instanceRef k_cpu_data_o_7_0_RNIP3A51_7))
           (portRef D (instanceRef un1_state_73))
           (portRef C (instanceRef un1_state_84))
           (portRef B (instanceRef k_cpu_we_3_1_tz_RNINPF01))
           (portRef C (instanceRef un1_state_60))
           (portRef D (instanceRef un1_state_87_1_RNO_0))
           (portRef B (instanceRef next_mem_state_8s2_0_411_i_0))
           (portRef B (instanceRef state_23_0_iv_352_a7_1_0_0))
          ))
          (net un1_state_23_0 (joined
           (portRef Z (instanceRef un1_state_23_0))
           (portRef C (instanceRef un1_state_19_RNIBDDL3))
          ))
          (net un1_state_58_0 (joined
           (portRef Z (instanceRef k_cpu_oe_3_2_RNO))
           (portRef A (instanceRef k_cpu_oe_3_2))
          ))
          (net un1_state_60_0 (joined
           (portRef Z (instanceRef un1_state_30_0))
           (portRef B (instanceRef un1_state_76))
           (portRef D (instanceRef k_cpu_we_3_1_tz_RNIKIKA3))
           (portRef A (instanceRef un1_state_60))
          ))
          (net N_725 (joined
           (portRef N_725)
           (portRef C (instanceRef un1_state_87_1_RNO_0))
          ))
          (net un1_state_87_1_0 (joined
           (portRef Z (instanceRef un1_state_87_1_RNO_0))
           (portRef C (instanceRef un1_state_87_1))
          ))
          (net N_1245 (joined
           (portRef Z (instanceRef un1_state_87_1_RNO))
           (portRef B (instanceRef un1_state_87_1))
          ))
          (net N_1262_1 (joined
           (portRef N_1262_1)
           (portRef A (instanceRef state_23_0_iv_352_a7_3))
           (portRef A (instanceRef un1_state_97_4_RNO))
           (portRef A (instanceRef k_cpu_oe_3_RNO))
           (portRef A (instanceRef un1_state_23_0))
          ))
          (net un1_state_31 (joined
           (portRef Z (instanceRef k_cpu_oe_3_RNO))
           (portRef C (instanceRef k_cpu_oe_3))
          ))
          (net N_1245_2 (joined
           (portRef N_1245_2)
           (portRef A (instanceRef un1_state_56))
           (portRef A (instanceRef un1_state_38))
           (portRef B (instanceRef un1_state_97_4_RNO))
           (portRef B (instanceRef un1_state_87_1_RNO))
          ))
          (net un1_state_61 (joined
           (portRef Z (instanceRef un1_state_97_4_RNO))
           (portRef B (instanceRef un1_state_97_4))
          ))
          (net un1_state_60 (joined
           (portRef Z (instanceRef un1_state_60))
           (portRef C (instanceRef un1_state_97))
           (portRef C (instanceRef un1_k_cpu_addr_6_sqmuxa))
          ))
          (net N_1260_1 (joined
           (portRef N_1260_1)
           (portRef A (instanceRef k_cpu_oe_3_0))
           (portRef A (instanceRef un1_state_76_1))
           (portRef C (instanceRef un1_state_19_RNISSVR))
           (portRef A (instanceRef un1_state_18))
           (portRef A (instanceRef un1_state_21))
           (portRef A (instanceRef un1_state_20_0))
          ))
          (net N_766_2 (joined
           (portRef N_766_2)
           (portRef A (instanceRef un1_state_25_RNIDQVI2))
           (portRef A (instanceRef un1_state_32))
           (portRef A (instanceRef un1_state_25))
           (portRef A (instanceRef k_clear_e_3))
           (portRef A (instanceRef un1_state_19))
           (portRef A (instanceRef un1_state_19_RNIBDDL3))
           (portRef A (instanceRef un1_state_76))
           (portRef B (instanceRef un1_state_97_RNO))
           (portRef D (instanceRef un1_state_19_RNISSVR))
           (portRef B (instanceRef un1_state_18))
           (portRef B (instanceRef un1_state_21))
           (portRef B (instanceRef k_cpu_oe_3_RNO))
          ))
          (net un1_state_21 (joined
           (portRef Z (instanceRef un1_state_21))
           (portRef un1_state_21)
          ))
          (net un1_state_18_sn (joined
           (portRef Z (instanceRef un1_state_18))
           (portRef un1_state_18_sn)
          ))
          (net k_pp_regs_27_sn_m12_2 (joined
           (portRef Z (instanceRef un1_state_19_RNISSVR))
           (portRef B (instanceRef un1_state_19_RNIBDDL3))
          ))
          (net un1_state_32 (joined
           (portRef Z (instanceRef un1_state_32))
           (portRef C (instanceRef un1_state_77))
           (portRef A (instanceRef un1_state_32_RNI40GE))
          ))
          (net un1_state_32_RNI40GE (joined
           (portRef Z (instanceRef un1_state_32_RNI40GE))
           (portRef un1_state_32_RNI40GE)
          ))
          (net cpu_clk (joined
           (portRef cpu_clk)
           (portRef B (instanceRef leds_r_cnv_0))
           (portRef B (instanceRef un3_cpu_reset_RNIM8E5))
           (portRef D (instanceRef k_memhi_0_sqmuxa_RNI76MN))
           (portRef B (instanceRef un3_cpu_reset_RNIAR2G3))
           (portRef C (instanceRef un3_cpu_reset_RNIU5Q42))
           (portRef B (instanceRef un3_cpu_reset_RNI88DC1))
           (portRef B (instanceRef un1_state_32_RNI40GE))
          ))
          (net cpu_reset_i_4 (joined
           (portRef Z (instanceRef un3_cpu_reset))
           (portRef A (instanceRef un3_cpu_reset_RNIM8E5))
           (portRef A (instanceRef un1_k_cpu_addr_6_sqmuxa))
           (portRef A (instanceRef k_memhi_0_sqmuxa_RNI76MN))
           (portRef C (instanceRef un3_cpu_reset_RNIAR2G3))
           (portRef D (instanceRef un3_cpu_reset_RNIU5Q42))
           (portRef C (instanceRef un3_cpu_reset_RNI88DC1))
           (portRef C (instanceRef un1_state_32_RNI40GE))
           (portRef cpu_reset_i_4)
          ))
          (net un3_cpu_reset_RNI88DC1 (joined
           (portRef Z (instanceRef un3_cpu_reset_RNI88DC1))
           (portRef un3_cpu_reset_RNI88DC1)
          ))
          (net k_dec_su_3_tz (joined
           (portRef Z (instanceRef k_cpu_we_3_1_tz))
           (portRef C (instanceRef un1_state_97_RNO))
           (portRef A (instanceRef k_cpu_we_3_1_tz_RNINPF01))
          ))
          (net un1_state_84 (joined
           (portRef Z (instanceRef un1_state_84))
           (portRef un1_state_84)
          ))
          (net N_778_1 (joined
           (portRef N_778_1)
           (portRef C (instanceRef state_2_sqmuxa_5))
           (portRef A (instanceRef un1_state_43))
          ))
          (net N_721 (joined
           (portRef N_721)
           (portRef A (instanceRef un1_state_42))
           (portRef D (instanceRef un1_state_97_RNO))
           (portRef D (instanceRef state_2_sqmuxa_5))
           (portRef B (instanceRef un1_state_43))
          ))
          (net un1_state_57_0 (joined
           (portRef Z (instanceRef un1_state_57_0))
           (portRef A (instanceRef un1_state_97_RNO))
           (portRef A (instanceRef un1_state_73))
           (portRef un1_state_57_0)
          ))
          (net un1_state_24_0 (joined
           (portRef Z (instanceRef un1_state_24_0))
           (portRef D (instanceRef un1_state_19_RNIBDDL3))
           (portRef A (instanceRef un1_state_68_0))
           (portRef B (instanceRef un1_state_73))
           (portRef un1_state_24_0)
          ))
          (net N_21_i (joined
           (portRef Z (instanceRef N_21_i))
           (portRef N_21_i)
          ))
          (net (rename state54_0 "state54[0]") (joined
           (portRef (member state54 0))
           (portRef B (instanceRef un3_cpu_reset_RNIU5Q42))
          ))
          (net (rename next_push_state_cnv_0_0 "next_push_state_cnv_0[0]") (joined
           (portRef Z (instanceRef un3_cpu_reset_RNIU5Q42))
           (portRef (member next_push_state_cnv_0 0))
          ))
          (net un1_state_97_1 (joined
           (portRef Z (instanceRef un1_state_97_RNO))
           (portRef A (instanceRef un1_state_97))
          ))
          (net k_dec_su_3 (joined
           (portRef Z (instanceRef k_cpu_we_3_1_tz_RNINPF01))
           (portRef B (instanceRef k_cpu_we_3_1_tz_RNIKIKA3))
           (portRef k_dec_su_3)
          ))
          (net k_cpu_we_3 (joined
           (portRef Z (instanceRef k_cpu_we_3_1_tz_RNIKIKA3))
           (portRef A (instanceRef un3_cpu_reset_RNIAR2G3))
           (portRef k_cpu_we_3)
          ))
          (net un3_cpu_reset_RNIAR2G3 (joined
           (portRef Z (instanceRef un3_cpu_reset_RNIAR2G3))
           (portRef un3_cpu_reset_RNIAR2G3)
          ))
          (net un1_state_33 (joined
           (portRef un1_state_33)
           (portRef D (instanceRef state_3_sqmuxa_0))
           (portRef D (instanceRef next_state_cnst_i_o2_2_0))
           (portRef B (instanceRef next_state_cnst_i_a2_2_0))
           (portRef D (instanceRef k_pp_regs_0_sqmuxa_2_1))
           (portRef C (instanceRef next_state_cnst_0_a2_4))
           (portRef C (instanceRef un1_next_state_3_sqmuxa))
           (portRef D (instanceRef next_mem_state_1_sqmuxa))
           (portRef D (instanceRef next_mem_state_1_sqmuxa_RNINPLR4))
           (portRef C (instanceRef next_state_10_2_766_i_0))
           (portRef A (instanceRef un3_cpu_reset_RNIU5Q42))
          ))
          (net next_state_10_2_766_i_0 (joined
           (portRef Z (instanceRef next_state_10_2_766_i_0))
           (portRef A (instanceRef N_1771_i))
          ))
          (net (rename datamux_o_alu_in_right_path_data_2 "datamux_o_alu_in_right_path_data[2]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_right_path_data_2))
           (portRef B1 (instanceRef mul16_w_madd_3_cry_2_0))
           (portRef D0 (instanceRef mul16_w_madd_3_cry_2_0))
           (portRef B1 (instanceRef mul16_w_madd_2_cry_2_0))
           (portRef D0 (instanceRef mul16_w_madd_2_cry_2_0))
           (portRef B1 (instanceRef mul16_w_madd_1_cry_2_0))
           (portRef D0 (instanceRef mul16_w_madd_1_cry_2_0))
           (portRef B1 (instanceRef mul16_w_madd_0_cry_1_0))
           (portRef D0 (instanceRef mul16_w_madd_0_cry_1_0))
           (portRef B1 (instanceRef sbc8_w_cry_1_0))
           (portRef B1 (instanceRef sbc16_w_cry_1_0))
           (portRef A1 (instanceRef sub16_w_cry_1_0))
           (portRef B1 (instanceRef adc16_w_cry_1_0))
           (portRef B1 (instanceRef add8_w_cry_1_0))
           (portRef B1 (instanceRef sub8_w_cry_1_0))
           (portRef B1 (instanceRef add16_w_cry_1_0))
           (portRef B (instanceRef q8_9_2))
           (portRef B (instanceRef q16_18_2))
           (portRef B (instanceRef q16_25_bm_2))
           (portRef C (instanceRef q8_3_bm_2))
           (portRef B (instanceRef q8_13_bm_2))
           (portRef B (instanceRef q8_6_bm_2))
           (portRef C (instanceRef q8_6_am_2))
           (portRef A (instanceRef zff_pipe_5_RNO))
           (portRef A (instanceRef zff_pipe_4_RNO))
          ))
          (net (rename CCRo_2_2 "CCRo_2[2]") (joined
           (portRef Z (instanceRef zff_pipe_4_RNO))
           (portRef D (instanceRef zff_pipe_4))
          ))
          (net un1_dec_o_write_flagsf (joined
           (portRef un1_dec_o_write_flagsf)
           (portRef B (instanceRef vff_pipe_25_RNO))
           (portRef B (instanceRef hflag_pipe_5_RNO))
           (portRef B (instanceRef hflag_pipe_4_RNO))
           (portRef B (instanceRef vff_pipe_5_RNO))
           (portRef B (instanceRef vff_pipe_4_RNO))
           (portRef B (instanceRef nff_pipe_4_RNO))
           (portRef B (instanceRef nff_pipe_5_RNO))
           (portRef B (instanceRef vff_pipe_23_RNO))
           (portRef B (instanceRef zff_pipe_5_RNO))
           (portRef B (instanceRef zff_pipe_4_RNO))
          ))
          (net (rename datamux_o_destf_1 "datamux_o_destf[2]") (joined
           (portRef datamux_o_destf_1)
           (portRef C (instanceRef zff_pipe_5_RNO))
           (portRef C (instanceRef zff_pipe_4_RNO))
          ))
          (net (rename alu_o_CCR_1 "alu_o_CCR[2]") (joined
           (portRef Z (instanceRef CCRo_2))
           (portRef D (instanceRef zff_pipe_5_RNO))
           (portRef D (instanceRef zff_pipe_4_RNO))
           (portRef alu_o_CCR_1)
          ))
          (net (rename CCRo_3_2 "CCRo_3[2]") (joined
           (portRef Z (instanceRef zff_pipe_5_RNO))
           (portRef D (instanceRef zff_pipe_5))
          ))
          (net N_983 (joined
           (portRef Z (instanceRef vff_pipe_23_RNO))
           (portRef D (instanceRef vff_pipe_23))
          ))
          (net (rename datamux_o_alu_in_right_path_data_3 "datamux_o_alu_in_right_path_data[3]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_right_path_data_3))
           (portRef D1 (instanceRef mul16_w_madd_3_cry_2_0))
           (portRef B0 (instanceRef mul16_w_madd_3_cry_4_0))
           (portRef D1 (instanceRef mul16_w_madd_2_cry_2_0))
           (portRef B0 (instanceRef mul16_w_madd_2_cry_4_0))
           (portRef D1 (instanceRef mul16_w_madd_1_cry_2_0))
           (portRef B0 (instanceRef mul16_w_madd_1_cry_4_0))
           (portRef D1 (instanceRef mul16_w_madd_0_cry_1_0))
           (portRef B0 (instanceRef mul16_w_madd_0_cry_3_0))
           (portRef B0 (instanceRef sbc8_w_cry_3_0))
           (portRef B0 (instanceRef sbc16_w_cry_3_0))
           (portRef A0 (instanceRef sub16_w_cry_3_0))
           (portRef B0 (instanceRef adc16_w_cry_3_0))
           (portRef B0 (instanceRef add8_w_cry_3_0))
           (portRef B0 (instanceRef sub8_w_cry_3_0))
           (portRef B0 (instanceRef add16_w_cry_3_0))
           (portRef B (instanceRef q8_9_3))
           (portRef B (instanceRef q16_18_3))
           (portRef B (instanceRef q16_25_bm_3))
           (portRef C (instanceRef q8_3_bm_3))
           (portRef B (instanceRef q8_13_bm_3))
           (portRef B (instanceRef q8_6_bm_3))
           (portRef C (instanceRef q8_6_am_3))
           (portRef A (instanceRef nff_pipe_4_RNO))
           (portRef A (instanceRef nff_pipe_5_RNO))
          ))
          (net (rename CCRo_3_3 "CCRo_3[3]") (joined
           (portRef Z (instanceRef nff_pipe_5_RNO))
           (portRef D (instanceRef nff_pipe_5))
          ))
          (net (rename datamux_o_destf_2 "datamux_o_destf[3]") (joined
           (portRef datamux_o_destf_2)
           (portRef C (instanceRef nff_pipe_4_RNO))
           (portRef C (instanceRef nff_pipe_5_RNO))
          ))
          (net (rename alu_o_CCR_2 "alu_o_CCR[3]") (joined
           (portRef Z (instanceRef CCRo_3))
           (portRef D (instanceRef nff_pipe_4_RNO))
           (portRef D (instanceRef nff_pipe_5_RNO))
           (portRef alu_o_CCR_2)
          ))
          (net (rename CCRo_2_3 "CCRo_2[3]") (joined
           (portRef Z (instanceRef nff_pipe_4_RNO))
           (portRef D (instanceRef nff_pipe_4))
          ))
          (net (rename datamux_o_alu_in_right_path_data_1 "datamux_o_alu_in_right_path_data[1]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_right_path_data_1))
           (portRef D1 (instanceRef mul16_w_madd_3_cry_1_0))
           (portRef B0 (instanceRef mul16_w_madd_3_cry_2_0))
           (portRef D1 (instanceRef mul16_w_madd_2_cry_1_0))
           (portRef B0 (instanceRef mul16_w_madd_2_cry_2_0))
           (portRef D1 (instanceRef mul16_w_madd_1_cry_1_0))
           (portRef B0 (instanceRef mul16_w_madd_1_cry_2_0))
           (portRef D1 (instanceRef mul16_w_madd_0_cry_0_0))
           (portRef B0 (instanceRef mul16_w_madd_0_cry_1_0))
           (portRef B0 (instanceRef sbc8_w_cry_1_0))
           (portRef B0 (instanceRef sbc16_w_cry_1_0))
           (portRef A0 (instanceRef sub16_w_cry_1_0))
           (portRef B0 (instanceRef adc16_w_cry_1_0))
           (portRef B0 (instanceRef add8_w_cry_1_0))
           (portRef B0 (instanceRef sub8_w_cry_1_0))
           (portRef B0 (instanceRef add16_w_cry_1_0))
           (portRef C (instanceRef mul16_w_madd_3_1_b))
           (portRef B (instanceRef mul16_w_madd_2_1_b))
           (portRef B (instanceRef mul16_w_madd_1_1_b))
           (portRef C (instanceRef and8_w_1))
           (portRef C (instanceRef eor8_w_1))
           (portRef C (instanceRef q8_12_1))
           (portRef B (instanceRef q16_18_1))
           (portRef C (instanceRef regq16_pipe_185_RNO))
           (portRef D (instanceRef regq8_pipe_118))
           (portRef A (instanceRef vff_pipe_5_RNO))
           (portRef A (instanceRef vff_pipe_4_RNO))
          ))
          (net (rename datamux_o_destf_0 "datamux_o_destf[1]") (joined
           (portRef datamux_o_destf_0)
           (portRef C (instanceRef vff_pipe_25_RNO))
           (portRef C (instanceRef vff_pipe_5_RNO))
           (portRef C (instanceRef vff_pipe_4_RNO))
           (portRef C (instanceRef vff_pipe_23_RNO))
          ))
          (net (rename alu_o_CCR_0 "alu_o_CCR[1]") (joined
           (portRef Z (instanceRef CCRo_1))
           (portRef D (instanceRef vff_pipe_25_RNO))
           (portRef D (instanceRef vff_pipe_5_RNO))
           (portRef D (instanceRef vff_pipe_4_RNO))
           (portRef D (instanceRef vff_pipe_23_RNO))
           (portRef alu_o_CCR_0)
          ))
          (net (rename CCRo_2_1 "CCRo_2[1]") (joined
           (portRef Z (instanceRef vff_pipe_4_RNO))
           (portRef D (instanceRef vff_pipe_4))
          ))
          (net (rename CCRo_3_1 "CCRo_3[1]") (joined
           (portRef Z (instanceRef vff_pipe_5_RNO))
           (portRef D (instanceRef vff_pipe_5))
          ))
          (net (rename datamux_o_alu_in_right_path_data_5 "datamux_o_alu_in_right_path_data[5]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_right_path_data_5))
           (portRef D1 (instanceRef mul16_w_madd_3_cry_4_0))
           (portRef B0 (instanceRef mul16_w_madd_3_cry_6_0))
           (portRef D1 (instanceRef mul16_w_madd_2_cry_4_0))
           (portRef B0 (instanceRef mul16_w_madd_2_cry_6_0))
           (portRef D1 (instanceRef mul16_w_madd_1_cry_4_0))
           (portRef B0 (instanceRef mul16_w_madd_1_cry_6_0))
           (portRef D1 (instanceRef mul16_w_madd_0_cry_3_0))
           (portRef B0 (instanceRef mul16_w_madd_0_cry_5_0))
           (portRef B0 (instanceRef sbc8_w_cry_5_0))
           (portRef B0 (instanceRef sbc16_w_cry_5_0))
           (portRef A0 (instanceRef sub16_w_cry_5_0))
           (portRef B0 (instanceRef adc16_w_cry_5_0))
           (portRef B0 (instanceRef add8_w_cry_5_0))
           (portRef B0 (instanceRef sub8_w_cry_5_0))
           (portRef B0 (instanceRef add16_w_cry_5_0))
           (portRef B (instanceRef q8_9_5))
           (portRef B (instanceRef q16_18_5))
           (portRef B (instanceRef q16_25_bm_5))
           (portRef C (instanceRef q8_3_bm_5))
           (portRef B (instanceRef q8_13_bm_5))
           (portRef C (instanceRef q8_6_bm_5))
           (portRef C (instanceRef q8_6_am_5))
           (portRef A (instanceRef hflag_pipe_5_RNO))
           (portRef A (instanceRef hflag_pipe_4_RNO))
          ))
          (net (rename CCRo_2_5 "CCRo_2[5]") (joined
           (portRef Z (instanceRef hflag_pipe_4_RNO))
           (portRef D (instanceRef hflag_pipe_4))
          ))
          (net (rename datamux_o_destf_4 "datamux_o_destf[5]") (joined
           (portRef datamux_o_destf_4)
           (portRef C (instanceRef hflag_pipe_5_RNO))
           (portRef C (instanceRef hflag_pipe_4_RNO))
          ))
          (net (rename alu_o_CCR_4 "alu_o_CCR[5]") (joined
           (portRef Z (instanceRef CCRo_5))
           (portRef D (instanceRef hflag_pipe_5_RNO))
           (portRef D (instanceRef hflag_pipe_4_RNO))
           (portRef alu_o_CCR_4)
          ))
          (net (rename CCRo_3_5 "CCRo_3[5]") (joined
           (portRef Z (instanceRef hflag_pipe_5_RNO))
           (portRef D (instanceRef hflag_pipe_5))
          ))
          (net CCRo18_3_0 (joined
           (portRef Z (instanceRef CCRo18_3_0))
           (portRef A (instanceRef CCRo19_0_a2_RNIO48BD))
          ))
          (net N_23 (joined
           (portRef N_23)
           (portRef B (instanceRef CCRo19_0_a2_0))
           (portRef B (instanceRef regq16_pipe_103_RNO))
           (portRef B (instanceRef regq16_pipe_125_RNO))
           (portRef B (instanceRef regq16_pipe_136_RNO))
           (portRef B (instanceRef regq16_pipe_83_RNO))
           (portRef B (instanceRef regq16_pipe_72_RNO))
           (portRef B (instanceRef regq16_pipe_61_RNO))
           (portRef B (instanceRef regq16_pipe_50_RNO))
           (portRef B (instanceRef regq16_pipe_39_RNO))
           (portRef B (instanceRef regq16_pipe_28_RNO))
           (portRef B (instanceRef regq16_pipe_17_RNO))
           (portRef B (instanceRef regq16_pipe_158_RNO))
           (portRef B (instanceRef q16_RNO_3_5))
           (portRef B (instanceRef sub16_w_cry_5_0_RNI6J4FC))
           (portRef B (instanceRef regq16_pipe_147_RNO))
           (portRef B (instanceRef regq16_pipe_95_RNO))
           (portRef B (instanceRef regq16_pipe_154_RNO))
           (portRef B (instanceRef regq16_pipe_178_RNO))
           (portRef B (instanceRef regq16_pipe_6_RNO))
           (portRef B (instanceRef q16_21_0_0))
           (portRef B (instanceRef CCRo18_3_0))
          ))
          (net (rename alu_opcode_1_f0_1_0_0 "alu_opcode_1_f0_1_0[0]") (joined
           (portRef (member alu_opcode_1_f0_1_0 0))
           (portRef C (instanceRef CCRo19_0_a2_0))
           (portRef C (instanceRef regq16_pipe_103_RNO))
           (portRef C (instanceRef regq16_pipe_125_RNO))
           (portRef C (instanceRef regq16_pipe_136_RNO))
           (portRef C (instanceRef regq16_pipe_83_RNO))
           (portRef C (instanceRef regq16_pipe_72_RNO))
           (portRef C (instanceRef regq16_pipe_61_RNO))
           (portRef C (instanceRef regq16_pipe_50_RNO))
           (portRef C (instanceRef regq16_pipe_39_RNO))
           (portRef C (instanceRef regq16_pipe_28_RNO))
           (portRef C (instanceRef regq16_pipe_17_RNO))
           (portRef C (instanceRef regq16_pipe_158_RNO))
           (portRef C (instanceRef q16_RNO_3_5))
           (portRef C (instanceRef sub16_w_cry_5_0_RNI6J4FC))
           (portRef C (instanceRef regq16_pipe_147_RNO))
           (portRef C (instanceRef regq16_pipe_95_RNO))
           (portRef C (instanceRef regq16_pipe_154_RNO))
           (portRef C (instanceRef regq16_pipe_178_RNO))
           (portRef C (instanceRef regq16_pipe_6_RNO))
           (portRef C (instanceRef q16_21_0_0))
           (portRef C (instanceRef CCRo18_3_0))
          ))
          (net (rename alu_opcode_1_f0_1Z0Z_0 "alu_opcode_1_f0_1[0]") (joined
           (portRef (member alu_opcode_1_f0_1 0))
           (portRef D (instanceRef CCRo19_0_a2_0))
           (portRef D (instanceRef regq16_pipe_103_RNO))
           (portRef D (instanceRef regq16_pipe_125_RNO))
           (portRef D (instanceRef regq16_pipe_136_RNO))
           (portRef D (instanceRef regq16_pipe_83_RNO))
           (portRef D (instanceRef regq16_pipe_72_RNO))
           (portRef D (instanceRef regq16_pipe_61_RNO))
           (portRef D (instanceRef regq16_pipe_50_RNO))
           (portRef D (instanceRef regq16_pipe_39_RNO))
           (portRef D (instanceRef regq16_pipe_28_RNO))
           (portRef D (instanceRef regq16_pipe_17_RNO))
           (portRef D (instanceRef regq16_pipe_158_RNO))
           (portRef D (instanceRef q16_RNO_3_5))
           (portRef D (instanceRef sub16_w_cry_5_0_RNI6J4FC))
           (portRef D (instanceRef regq16_pipe_147_RNO))
           (portRef D (instanceRef regq16_pipe_95_RNO))
           (portRef D (instanceRef regq16_pipe_154_RNO))
           (portRef D (instanceRef regq16_pipe_178_RNO))
           (portRef D (instanceRef regq16_pipe_6_RNO))
           (portRef D (instanceRef q16_21_0_0))
           (portRef D (instanceRef CCRo18_3_0))
          ))
          (net (rename q16_21_0_1 "q16_21_0[1]") (joined
           (portRef Z (instanceRef q16_21_0_0))
           (portRef A (instanceRef q16_25_am_9))
           (portRef A (instanceRef q16_25_am_10))
           (portRef A (instanceRef q16_25_am_11))
           (portRef A (instanceRef q16_25_am_12))
           (portRef A (instanceRef q16_25_am_13))
           (portRef A (instanceRef q16_25_am_7))
           (portRef A (instanceRef q16_25_am_15))
          ))
          (net (rename datamux_o_alu_in_left_path_data_3 "datamux_o_alu_in_left_path_data[3]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_data_mb_3))
           (portRef B1 (instanceRef mul16_w_madd_1_cry_1_0))
           (portRef A1 (instanceRef mul16_w_madd_1_cry_2_0))
           (portRef A0 (instanceRef mul16_w_madd_1_cry_2_0))
           (portRef A1 (instanceRef mul16_w_madd_1_cry_4_0))
           (portRef A0 (instanceRef mul16_w_madd_1_cry_4_0))
           (portRef A1 (instanceRef mul16_w_madd_1_cry_6_0))
           (portRef A0 (instanceRef mul16_w_madd_1_cry_6_0))
           (portRef A0 (instanceRef mul16_w_madd_1_cry_8_0))
           (portRef A0 (instanceRef sbc8_w_cry_3_0))
           (portRef A0 (instanceRef sbc16_w_cry_3_0))
           (portRef B0 (instanceRef sub16_w_cry_3_0))
           (portRef A0 (instanceRef adc16_w_cry_3_0))
           (portRef A0 (instanceRef add8_w_cry_3_0))
           (portRef C0 (instanceRef sub8_w_cry_3_0))
           (portRef A0 (instanceRef add16_w_cry_3_0))
           (portRef A0 (instanceRef neg16_w_cry_3_0))
           (portRef A (instanceRef q8_7_bm_1_4))
           (portRef A (instanceRef q8_22_3))
           (portRef B (instanceRef q8_22_2))
           (portRef A (instanceRef q8_9_2))
           (portRef B (instanceRef q8_17_4))
           (portRef C (instanceRef daa_lnm9_ac0_5))
           (portRef A (instanceRef q16_18_3))
           (portRef A (instanceRef q16_25_am_3))
           (portRef A (instanceRef q8_3_bm_3))
           (portRef A (instanceRef q16_25_bm_2))
           (portRef B (instanceRef q16_11_am_4))
           (portRef B (instanceRef q8_3_bm_2))
           (portRef A (instanceRef q8_13_bm_3))
           (portRef C (instanceRef daa8l_r_1_1))
           (portRef C (instanceRef daa8l_r_1_3))
           (portRef C (instanceRef daa8l_r_1_2))
           (portRef B (instanceRef q16_11_bm_2))
           (portRef B (instanceRef q8_6_am_3))
           (portRef A (instanceRef mul16_w_madd_4_cry_1_0_RNO))
          ))
          (net mul16_w_madd_4_cry_1_0_RNO (joined
           (portRef Z (instanceRef mul16_w_madd_4_cry_1_0_RNO))
           (portRef A0 (instanceRef mul16_w_madd_4_cry_1_0))
          ))
          (net N_965 (joined
           (portRef Z (instanceRef vff_pipe_25_RNO))
           (portRef D (instanceRef vff_pipe_25))
          ))
          (net (rename sub16_w_15 "sub16_w[15]") (joined
           (portRef S0 (instanceRef sub16_w_cry_15_0))
           (portRef B (instanceRef regq16_pipe_RNO_4))
           (portRef B (instanceRef vsub16_r))
           (portRef A (instanceRef regq16_pipe_6_RNO))
          ))
          (net N_744 (joined
           (portRef Z (instanceRef regq16_pipe_6_RNO))
           (portRef D (instanceRef regq16_pipe_6))
          ))
          (net N_586 (joined
           (portRef Z (instanceRef regq16_pipe_178_RNO))
           (portRef D (instanceRef regq16_pipe_178))
          ))
          (net (rename datamux_o_alu_in_left_path_data_2 "datamux_o_alu_in_left_path_data[2]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_data_2))
           (portRef C1 (instanceRef mul16_w_madd_1_cry_1_0))
           (portRef C1 (instanceRef mul16_w_madd_1_cry_2_0))
           (portRef C0 (instanceRef mul16_w_madd_1_cry_2_0))
           (portRef C1 (instanceRef mul16_w_madd_1_cry_4_0))
           (portRef C0 (instanceRef mul16_w_madd_1_cry_4_0))
           (portRef C1 (instanceRef mul16_w_madd_1_cry_6_0))
           (portRef C0 (instanceRef mul16_w_madd_1_cry_6_0))
           (portRef B1 (instanceRef mul16_w_madd_4_cry_0_0))
           (portRef A1 (instanceRef sbc8_w_cry_1_0))
           (portRef A1 (instanceRef sbc16_w_cry_1_0))
           (portRef B1 (instanceRef sub16_w_cry_1_0))
           (portRef A1 (instanceRef adc16_w_cry_1_0))
           (portRef A1 (instanceRef add8_w_cry_1_0))
           (portRef C1 (instanceRef sub8_w_cry_1_0))
           (portRef A1 (instanceRef add16_w_cry_1_0))
           (portRef A1 (instanceRef neg16_w_cry_1_0))
           (portRef A (instanceRef mul16_w_madd_1_1_b))
           (portRef A (instanceRef q8_22_2))
           (portRef B (instanceRef q8_17_3))
           (portRef B (instanceRef daa_lnm9_ac0_5))
           (portRef A (instanceRef q16_18_2))
           (portRef C (instanceRef q16_9_i_2))
           (portRef A (instanceRef q16_25_am_2))
           (portRef A (instanceRef q8_3_bm_2))
           (portRef B (instanceRef q16_11_am_3))
           (portRef A (instanceRef q8_13_bm_2))
           (portRef B (instanceRef daa8l_r_1_1))
           (portRef B (instanceRef daa8l_r_1_3))
           (portRef B (instanceRef daa8l_r_1_2))
           (portRef A (instanceRef q8_6_am_3))
           (portRef B (instanceRef q8_6_am_2))
           (portRef D (instanceRef regq8_pipe_119))
           (portRef A (instanceRef regq16_pipe_154_RNO))
          ))
          (net N_634 (joined
           (portRef Z (instanceRef regq16_pipe_154_RNO))
           (portRef D (instanceRef regq16_pipe_154))
          ))
          (net (rename sub16_w_7 "sub16_w[7]") (joined
           (portRef S0 (instanceRef sub16_w_cry_7_0))
           (portRef A (instanceRef q8_16_7))
           (portRef B (instanceRef q16_3_7))
           (portRef A (instanceRef vsub8_r))
           (portRef A (instanceRef regq16_pipe_95_RNO))
          ))
          (net N_736 (joined
           (portRef Z (instanceRef regq16_pipe_95_RNO))
           (portRef D (instanceRef regq16_pipe_95))
          ))
          (net (rename sub16_w_2 "sub16_w[2]") (joined
           (portRef S1 (instanceRef sub16_w_cry_1_0))
           (portRef A (instanceRef q8_16_2))
           (portRef B (instanceRef q16_3_2))
           (portRef A (instanceRef regq16_pipe_147_RNO))
          ))
          (net N_731 (joined
           (portRef Z (instanceRef regq16_pipe_147_RNO))
           (portRef D (instanceRef regq16_pipe_147))
          ))
          (net (rename sub16_w_5 "sub16_w[5]") (joined
           (portRef S0 (instanceRef sub16_w_cry_5_0))
           (portRef A (instanceRef q8_16_5))
           (portRef A (instanceRef sub16_w_cry_5_0_RNI6J4FC))
          ))
          (net N_734 (joined
           (portRef Z (instanceRef sub16_w_cry_5_0_RNI6J4FC))
           (portRef B (instanceRef q16_RNO_2_5))
           (portRef D (instanceRef regq16_pipe_114))
          ))
          (net (rename add16_w_5 "add16_w[5]") (joined
           (portRef S0 (instanceRef add16_w_cry_5_0))
           (portRef A (instanceRef q8_17_5))
           (portRef D (instanceRef regq8_pipe_28))
           (portRef A (instanceRef q16_RNO_3_5))
          ))
          (net N_2057 (joined
           (portRef Z (instanceRef q16_RNO_3_5))
           (portRef A (instanceRef q16_RNO_2_5))
          ))
          (net (rename dec_o_alu_opcode_4 "dec_o_alu_opcode[4]") (joined
           (portRef (member dec_o_alu_opcode 0))
           (portRef D (instanceRef regq16_pipe_RNO_0))
           (portRef C (instanceRef regq16_pipe_RNO_1))
           (portRef D (instanceRef regq16_pipe_RNO_3))
           (portRef D (instanceRef q8_7_bm_7))
           (portRef D (instanceRef q8_7_bm_1_7))
           (portRef D (instanceRef q8_7_bm_4))
           (portRef C (instanceRef q8_7_bm_1_4))
           (portRef B (instanceRef q8_8_0_a3_0))
           (portRef B (instanceRef q8_8_0_a3_1))
           (portRef B (instanceRef regq8_pipe_75_RNO))
           (portRef B (instanceRef q8_18_0))
           (portRef B (instanceRef q8_12_0_1))
           (portRef B (instanceRef q16_12_s_14))
           (portRef B (instanceRef q8_16_i_o3_3))
           (portRef C (instanceRef q16_m1_e_0_0))
           (portRef C (instanceRef q16_m2_e_0))
           (portRef C (instanceRef q8_16_2))
           (portRef C (instanceRef q8_16_5))
           (portRef C (instanceRef q8_16_0_a2_4))
           (portRef C (instanceRef q8_16_7))
           (portRef B (instanceRef q8_18_0_a3_1))
           (portRef C (instanceRef q8_16_i_1))
           (portRef B (instanceRef q8_9_7))
           (portRef C (instanceRef q8_22_3))
           (portRef C (instanceRef q8_9_3))
           (portRef C (instanceRef q8_22_4))
           (portRef C (instanceRef q8_9_4))
           (portRef C (instanceRef q8_22_5))
           (portRef C (instanceRef q8_9_5))
           (portRef C (instanceRef q8_22_6))
           (portRef C (instanceRef q8_9_6))
           (portRef C (instanceRef q8_22_2))
           (portRef C (instanceRef q8_9_2))
           (portRef C (instanceRef q8_17_7))
           (portRef C (instanceRef q8_17_6))
           (portRef C (instanceRef q8_17_5))
           (portRef C (instanceRef q8_17_4))
           (portRef C (instanceRef q8_17_3))
           (portRef C (instanceRef q8_17_2))
           (portRef C (instanceRef regq8_pipe_44_RNO))
           (portRef C (instanceRef regq8_pipe_11_RNO))
           (portRef D (instanceRef q8_2_4))
           (portRef D (instanceRef q8_19_7))
           (portRef D (instanceRef q8_19_6))
           (portRef D (instanceRef q8_19_5))
           (portRef D (instanceRef q8_19_4))
           (portRef D (instanceRef q8_19_3))
           (portRef D (instanceRef q8_19_2))
           (portRef D (instanceRef q8_17_0))
           (portRef D (instanceRef q8_4_0_a3_0))
           (portRef D (instanceRef CCRo19_0_a2))
           (portRef D (instanceRef un1_opcode_in_9))
           (portRef D (instanceRef q8_2_0))
           (portRef D (instanceRef q8_3_bm_3))
           (portRef B (instanceRef q8_3_am_3))
           (portRef D (instanceRef q8_3_bm_2))
           (portRef B (instanceRef q8_3_am_2))
           (portRef D (instanceRef q8_3_bm_5))
           (portRef B (instanceRef q8_3_am_5))
           (portRef D (instanceRef q8_3_bm_6))
           (portRef B (instanceRef q8_3_am_6))
           (portRef D (instanceRef q8_13_bm_7))
           (portRef D (instanceRef q8_13_am_7))
           (portRef D (instanceRef q8_13_bm_4))
           (portRef D (instanceRef q8_13_am_4))
           (portRef D (instanceRef q8_13_bm_5))
           (portRef D (instanceRef q8_13_am_5))
           (portRef D (instanceRef q8_13_bm_3))
           (portRef D (instanceRef q8_13_am_3))
           (portRef D (instanceRef q8_13_bm_6))
           (portRef D (instanceRef q8_13_am_6))
           (portRef D (instanceRef q8_13_bm_2))
           (portRef D (instanceRef q8_13_am_2))
           (portRef C0 (instanceRef q16_12_d_14))
           (portRef D (instanceRef q8_3_0))
           (portRef D (instanceRef q16_12_d_8))
           (portRef D (instanceRef q16_12_d_7))
           (portRef D (instanceRef q16_12_d_9))
           (portRef D (instanceRef q16_12_d_10))
           (portRef D (instanceRef q16_12_d_11))
           (portRef D (instanceRef q16_12_d_12))
           (portRef D (instanceRef q16_12_d_13))
           (portRef D (instanceRef q8_7_am_4))
           (portRef D (instanceRef q16_12_4))
           (portRef D (instanceRef q16_12_3))
           (portRef C0 (instanceRef q16_RNO_0_5))
           (portRef D (instanceRef q16_12_am_6))
           (portRef C0 (instanceRef q16_12_0))
           (portRef D (instanceRef q16_12_2))
           (portRef C (instanceRef q8_6_bm_3))
           (portRef D (instanceRef q8_6_am_3))
           (portRef C (instanceRef q8_6_bm_2))
           (portRef D (instanceRef q8_6_am_2))
           (portRef D (instanceRef q8_6_bm_5))
           (portRef D (instanceRef q8_6_am_5))
           (portRef C (instanceRef q8_6_bm_6))
           (portRef D (instanceRef q8_6_am_6))
           (portRef D (instanceRef q8_7_am_7))
           (portRef D (instanceRef regq16_pipe_14))
           (portRef D (instanceRef vff_pipe_22))
           (portRef A (instanceRef q16_RNO_5))
           (portRef A (instanceRef CCRo18_3_0))
          ))
          (net (rename dec_o_alu_opcode_0 "dec_o_alu_opcode[0]") (joined
           (portRef (member dec_o_alu_opcode 4))
           (portRef C (instanceRef regq16_pipe_RNO_2))
           (portRef A (instanceRef regq16_pipe_RNO_1))
           (portRef B (instanceRef regq16_pipe_RNO_3))
           (portRef C (instanceRef q16_17_0_a3_1))
           (portRef C (instanceRef q16_17_0_a3_7))
           (portRef C (instanceRef q16_13_7))
           (portRef B (instanceRef q16_21_1))
           (portRef C (instanceRef q16_16_14))
           (portRef C (instanceRef q16_16_8))
           (portRef C (instanceRef q16_16_15))
           (portRef C (instanceRef q16_16_13))
           (portRef C (instanceRef q16_16_12))
           (portRef C (instanceRef q16_16_11))
           (portRef C (instanceRef q16_16_10))
           (portRef C (instanceRef q16_3_1))
           (portRef C (instanceRef q16_3_2))
           (portRef C (instanceRef q16_3_3))
           (portRef C (instanceRef q16_3_4))
           (portRef C (instanceRef q16_3_6))
           (portRef C (instanceRef q16_3_7))
           (portRef C (instanceRef q16_3_8))
           (portRef C (instanceRef q16_3_9))
           (portRef C (instanceRef q16_3_10))
           (portRef C (instanceRef q16_3_11))
           (portRef C (instanceRef q16_3_12))
           (portRef C (instanceRef q16_3_14))
           (portRef C (instanceRef q16_3_13))
           (portRef C (instanceRef q16_18_4))
           (portRef C (instanceRef q16_18_5))
           (portRef C (instanceRef q16_18_6))
           (portRef C (instanceRef q16_18_7))
           (portRef C (instanceRef q16_18_3))
           (portRef C (instanceRef q16_18_2))
           (portRef C (instanceRef q16_18_1))
           (portRef D (instanceRef q16_9_i_2))
           (portRef B (instanceRef un1_opcode_in_9))
           (portRef B (instanceRef q16_7_0))
           (portRef D (instanceRef q16_10_bm_0))
           (portRef C (instanceRef q16_10_am_0))
           (portRef B (instanceRef q16_25_am_8))
           (portRef B (instanceRef q16_25_am_14))
           (portRef C (instanceRef q16_11_d_bm_5))
           (portRef C (instanceRef q16_11_d_am_5))
           (portRef C (instanceRef q16_11_d_bm_6))
           (portRef C (instanceRef q16_11_d_am_6))
           (portRef B (instanceRef q16_11_d_bm_8))
           (portRef C (instanceRef q16_11_d_am_8))
           (portRef B (instanceRef q16_11_d_bm_7))
           (portRef C (instanceRef q16_11_d_am_7))
           (portRef B (instanceRef q16_11_d_bm_9))
           (portRef C (instanceRef q16_11_d_am_9))
           (portRef B (instanceRef q16_11_d_bm_10))
           (portRef C (instanceRef q16_11_d_am_10))
           (portRef B (instanceRef q16_11_d_bm_11))
           (portRef C (instanceRef q16_11_d_am_11))
           (portRef B (instanceRef q16_11_d_bm_12))
           (portRef C (instanceRef q16_11_d_am_12))
           (portRef B (instanceRef q16_11_d_bm_13))
           (portRef C (instanceRef q16_11_d_am_13))
           (portRef C (instanceRef q16_25_bm_3))
           (portRef B (instanceRef q16_25_am_3))
           (portRef C (instanceRef q16_25_bm_4))
           (portRef B (instanceRef q16_25_am_4))
           (portRef C (instanceRef q16_25_bm_5))
           (portRef B (instanceRef q16_25_am_5))
           (portRef C (instanceRef q16_25_bm_6))
           (portRef B (instanceRef q16_25_am_6))
           (portRef C (instanceRef q16_25_bm_2))
           (portRef B (instanceRef q16_25_am_2))
           (portRef C (instanceRef q16_11_bm_4))
           (portRef C (instanceRef q16_11_am_4))
           (portRef C (instanceRef q16_11_bm_3))
           (portRef C (instanceRef q16_11_am_3))
           (portRef C (instanceRef q16_18_bm_9))
           (portRef C (instanceRef q16_18_am_9))
           (portRef C (instanceRef q16_25_bm_0))
           (portRef C (instanceRef q16_25_am_0))
           (portRef C (instanceRef q16_18_0))
           (portRef D (instanceRef regq16_pipe_169_RNO))
           (portRef C (instanceRef q16_12_d_bm_14))
           (portRef D (instanceRef regq16_pipe_185_RNO))
           (portRef B (instanceRef q16_25_bm_15))
           (portRef C (instanceRef v8_9))
           (portRef C (instanceRef q16_11_bm_2))
           (portRef C (instanceRef q16_11_am_2))
           (portRef D (instanceRef q16_12_d_0_14))
           (portRef B (instanceRef q16_12_bm_6))
           (portRef C (instanceRef q16_12_am_0))
           (portRef D (instanceRef q16_8))
           (portRef D (instanceRef q16_7))
           (portRef D (instanceRef q16_9))
           (portRef D (instanceRef q16_10))
           (portRef D (instanceRef q16_11))
           (portRef D (instanceRef q16_12))
           (portRef D (instanceRef q16_13))
           (portRef D (instanceRef q16_14))
           (portRef D (instanceRef regq8_pipe_1))
           (portRef D (instanceRef vff_pipe))
           (portRef B (instanceRef q16_RNO_5))
           (portRef A (instanceRef vff_pipe_25_RNO))
          ))
          (net (rename dec_o_alu_opcode_3 "dec_o_alu_opcode[3]") (joined
           (portRef (member dec_o_alu_opcode 1))
           (portRef D (instanceRef regq16_pipe_RNO_2))
           (portRef C (instanceRef regq16_pipe_RNO_4))
           (portRef B (instanceRef regq16_pipe_RNO_1))
           (portRef B (instanceRef q16_11_s_13))
           (portRef B (instanceRef q16_m1_e_0_0))
           (portRef B (instanceRef q16_m2_e_0))
           (portRef B (instanceRef regq8_pipe_12_RNO))
           (portRef C (instanceRef q16_21_1))
           (portRef D (instanceRef c16_5_i_m2))
           (portRef D (instanceRef q16_3_1))
           (portRef D (instanceRef q16_3_2))
           (portRef D (instanceRef q16_3_3))
           (portRef D (instanceRef q16_3_4))
           (portRef D (instanceRef q16_3_6))
           (portRef D (instanceRef q16_3_7))
           (portRef D (instanceRef q16_3_8))
           (portRef D (instanceRef q16_3_9))
           (portRef D (instanceRef q16_3_10))
           (portRef D (instanceRef q16_3_11))
           (portRef D (instanceRef q16_3_12))
           (portRef D (instanceRef q16_3_14))
           (portRef D (instanceRef q16_3_13))
           (portRef D (instanceRef q16_18_4))
           (portRef D (instanceRef q16_18_5))
           (portRef D (instanceRef q16_18_6))
           (portRef D (instanceRef q16_18_7))
           (portRef D (instanceRef q16_18_3))
           (portRef D (instanceRef q16_18_2))
           (portRef D (instanceRef q16_18_1))
           (portRef C (instanceRef CCRo19_0_a2))
           (portRef C (instanceRef q16_18_8))
           (portRef C (instanceRef q16_18_14))
           (portRef C (instanceRef q16_7_0))
           (portRef C0 (instanceRef q16_10_0))
           (portRef B (instanceRef q16_25_bm_8))
           (portRef C (instanceRef q16_25_am_8))
           (portRef B (instanceRef q16_25_bm_9))
           (portRef B (instanceRef q16_25_bm_10))
           (portRef B (instanceRef q16_25_bm_11))
           (portRef B (instanceRef q16_25_bm_12))
           (portRef B (instanceRef q16_25_bm_14))
           (portRef C (instanceRef q16_25_am_14))
           (portRef B (instanceRef q16_25_bm_13))
           (portRef D (instanceRef q16_11_d_am_5))
           (portRef D (instanceRef q16_11_d_am_6))
           (portRef D (instanceRef q16_11_d_am_8))
           (portRef D (instanceRef q16_11_d_am_7))
           (portRef D (instanceRef q16_11_d_am_9))
           (portRef D (instanceRef q16_11_d_am_10))
           (portRef D (instanceRef q16_11_d_am_11))
           (portRef D (instanceRef q16_11_d_am_12))
           (portRef D (instanceRef q16_11_d_am_13))
           (portRef D (instanceRef q16_25_bm_3))
           (portRef C (instanceRef q16_25_am_3))
           (portRef D (instanceRef q16_25_bm_4))
           (portRef C (instanceRef q16_25_am_4))
           (portRef D (instanceRef q16_25_bm_5))
           (portRef C (instanceRef q16_25_am_5))
           (portRef D (instanceRef q16_25_bm_6))
           (portRef C (instanceRef q16_25_am_6))
           (portRef D (instanceRef q16_25_bm_2))
           (portRef C (instanceRef q16_25_am_2))
           (portRef D (instanceRef q16_11_bm_4))
           (portRef D (instanceRef q16_11_am_4))
           (portRef C0 (instanceRef q8_13_7))
           (portRef C (instanceRef q16_18_15))
           (portRef D (instanceRef q16_11_bm_3))
           (portRef D (instanceRef q16_11_am_3))
           (portRef C (instanceRef q16_18_13))
           (portRef C (instanceRef q16_18_12))
           (portRef C (instanceRef q16_18_11))
           (portRef C (instanceRef q16_18_10))
           (portRef C0 (instanceRef q16_18_9))
           (portRef D (instanceRef q16_25_bm_0))
           (portRef D (instanceRef q16_25_am_0))
           (portRef D (instanceRef q16_18_0))
           (portRef C (instanceRef q16_25_bm_7))
           (portRef D (instanceRef CCRo19_0_a2_RNIO48BD))
           (portRef C0 (instanceRef q8_13_4))
           (portRef C0 (instanceRef q8_13_5))
           (portRef C0 (instanceRef q8_13_3))
           (portRef C0 (instanceRef q8_13_6))
           (portRef C0 (instanceRef q8_13_2))
           (portRef D (instanceRef q16_12_d_bm_14))
           (portRef C (instanceRef q16_25_bm_15))
           (portRef C0 (instanceRef q8_7_4))
           (portRef D (instanceRef q16_11_bm_2))
           (portRef D (instanceRef q16_11_am_2))
           (portRef C (instanceRef q16_RNO_1_5))
           (portRef D (instanceRef q16_RNO_2_5))
           (portRef SD (instanceRef q8_7_3))
           (portRef SD (instanceRef q8_7_2))
           (portRef SD (instanceRef q8_7_5))
           (portRef SD (instanceRef q8_7_6))
           (portRef C0 (instanceRef q8_7_7))
           (portRef D (instanceRef regq16_pipe_7))
           (portRef D (instanceRef vff_pipe_14))
           (portRef C (instanceRef q16_RNO_5))
           (portRef A (instanceRef q16_21_0_0))
          ))
          (net q16_N_5_mux (joined
           (portRef Z (instanceRef q16_RNO_5))
           (portRef B (instanceRef q16_5))
          ))
          (net k_pp_regs_1_sqmuxa_2_1 (joined
           (portRef Z (instanceRef k_pp_regs_0_sqmuxa_2_1))
           (portRef D (instanceRef k_pp_regs_0_sqmuxa_2_7))
           (portRef A (instanceRef k_pp_regs_0_sqmuxa_2_1_RNI70H31))
          ))
          (net k_pp_regs_1_sqmuxa_2_7 (joined
           (portRef Z (instanceRef k_pp_regs_0_sqmuxa_2_1_RNI70H31))
           (portRef D (instanceRef k_pp_regs_0_sqmuxa_2_1_RNI0A648))
          ))
          (net (rename datamux_o_alu_in_left_path_data_0_2_0 "datamux_o_alu_in_left_path_data_0_2[0]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_data_0_2_0))
           (portRef B1 (instanceRef sbc8_w_cry_0_0))
           (portRef B1 (instanceRef sbc16_w_cry_0_0))
           (portRef C1 (instanceRef sub16_w_cry_0_0))
           (portRef B1 (instanceRef adc16_w_cry_0_0))
           (portRef B1 (instanceRef add8_w_cry_0_0))
           (portRef C1 (instanceRef sub8_w_cry_0_0))
           (portRef B1 (instanceRef add16_w_cry_0_0))
           (portRef A1 (instanceRef neg16_w_cry_0_0))
           (portRef B (instanceRef regq8_pipe_84_RNO))
           (portRef B (instanceRef vneg16_r_0))
           (portRef B (instanceRef vror16_r))
           (portRef B (instanceRef vasr8_r))
           (portRef C (instanceRef q8_17_0))
           (portRef D (instanceRef q8_16_0_a3_0))
           (portRef C (instanceRef q8_4_0_a3_0))
           (portRef C (instanceRef q16_10_bm_0))
           (portRef B (instanceRef q16_25_am_0))
           (portRef D (instanceRef regq8_pipe_81_RNO))
           (portRef C (instanceRef regq16_pipe_169_RNO))
           (portRef A (instanceRef regq16_pipe_172_RNO))
           (portRef A (instanceRef regq8_pipe_83_RNO))
          ))
          (net (rename datamux_o_alu_in_left_path_data_0_1_0 "datamux_o_alu_in_left_path_data_0_1[0]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_data_0_1_0))
           (portRef A1 (instanceRef sbc8_w_cry_0_0))
           (portRef A1 (instanceRef sbc16_w_cry_0_0))
           (portRef B1 (instanceRef sub16_w_cry_0_0))
           (portRef A1 (instanceRef adc16_w_cry_0_0))
           (portRef A1 (instanceRef add8_w_cry_0_0))
           (portRef B1 (instanceRef sub8_w_cry_0_0))
           (portRef A1 (instanceRef add16_w_cry_0_0))
           (portRef B1 (instanceRef neg16_w_cry_0_0))
           (portRef A (instanceRef regq8_pipe_84_RNO))
           (portRef A (instanceRef vneg16_r_0))
           (portRef A (instanceRef vror16_r))
           (portRef A (instanceRef vasr8_r))
           (portRef B (instanceRef q8_17_0))
           (portRef C (instanceRef q8_16_0_a3_0))
           (portRef B (instanceRef q8_4_0_a3_0))
           (portRef B (instanceRef q16_10_bm_0))
           (portRef A (instanceRef q16_25_am_0))
           (portRef C (instanceRef regq8_pipe_81_RNO))
           (portRef B (instanceRef regq16_pipe_169_RNO))
           (portRef B (instanceRef regq16_pipe_172_RNO))
           (portRef B (instanceRef regq8_pipe_83_RNO))
          ))
          (net N_1993 (joined
           (portRef N_1993)
           (portRef D1 (instanceRef sbc8_w_cry_0_0))
           (portRef D1 (instanceRef sbc16_w_cry_0_0))
           (portRef C (instanceRef regq16_pipe_172_RNO))
           (portRef C (instanceRef regq8_pipe_83_RNO))
           (portRef B (instanceRef mul16_w_madd_4_cry_1_0_RNO))
          ))
          (net N_180_1 (joined
           (portRef N_180_1)
           (portRef C1 (instanceRef sbc8_w_cry_0_0))
           (portRef C1 (instanceRef sbc16_w_cry_0_0))
           (portRef D (instanceRef regq16_pipe_172_RNO))
           (portRef D (instanceRef regq8_pipe_83_RNO))
           (portRef C (instanceRef mul16_w_madd_4_cry_1_0_RNO))
          ))
          (net (rename add8_w_0 "add8_w[0]") (joined
           (portRef Z (instanceRef regq8_pipe_83_RNO))
           (portRef D (instanceRef regq8_pipe_83))
          ))
          (net (rename add16_w_0 "add16_w[0]") (joined
           (portRef Z (instanceRef regq16_pipe_172_RNO))
           (portRef D (instanceRef regq16_pipe_172))
          ))
          (net (rename sub16_w_1 "sub16_w[1]") (joined
           (portRef S0 (instanceRef sub16_w_cry_1_0))
           (portRef A (instanceRef q8_16_i_1))
           (portRef B (instanceRef q16_3_1))
           (portRef A (instanceRef regq16_pipe_158_RNO))
          ))
          (net N_2089_i (joined
           (portRef Z (instanceRef regq16_pipe_158_RNO))
           (portRef D (instanceRef regq16_pipe_158))
          ))
          (net (rename sub16_w_14 "sub16_w[14]") (joined
           (portRef S1 (instanceRef sub16_w_cry_13_0))
           (portRef B (instanceRef q16_3_14))
           (portRef A (instanceRef regq16_pipe_17_RNO))
          ))
          (net N_163_i (joined
           (portRef Z (instanceRef regq16_pipe_17_RNO))
           (portRef D (instanceRef regq16_pipe_17))
          ))
          (net (rename sub16_w_13 "sub16_w[13]") (joined
           (portRef S0 (instanceRef sub16_w_cry_13_0))
           (portRef B (instanceRef q16_3_13))
           (portRef A (instanceRef regq16_pipe_28_RNO))
          ))
          (net N_161_i (joined
           (portRef Z (instanceRef regq16_pipe_28_RNO))
           (portRef D (instanceRef regq16_pipe_28))
          ))
          (net (rename sub16_w_12 "sub16_w[12]") (joined
           (portRef S1 (instanceRef sub16_w_cry_11_0))
           (portRef B (instanceRef q16_3_12))
           (portRef A (instanceRef regq16_pipe_39_RNO))
          ))
          (net N_159_i (joined
           (portRef Z (instanceRef regq16_pipe_39_RNO))
           (portRef D (instanceRef regq16_pipe_39))
          ))
          (net (rename sub16_w_11 "sub16_w[11]") (joined
           (portRef S0 (instanceRef sub16_w_cry_11_0))
           (portRef B (instanceRef q16_3_11))
           (portRef A (instanceRef regq16_pipe_50_RNO))
          ))
          (net N_2094_i (joined
           (portRef Z (instanceRef regq16_pipe_50_RNO))
           (portRef D (instanceRef regq16_pipe_50))
          ))
          (net (rename sub16_w_10 "sub16_w[10]") (joined
           (portRef S1 (instanceRef sub16_w_cry_9_0))
           (portRef B (instanceRef q16_3_10))
           (portRef A (instanceRef regq16_pipe_61_RNO))
          ))
          (net N_2093_i (joined
           (portRef Z (instanceRef regq16_pipe_61_RNO))
           (portRef D (instanceRef regq16_pipe_61))
          ))
          (net (rename sub16_w_9 "sub16_w[9]") (joined
           (portRef S0 (instanceRef sub16_w_cry_9_0))
           (portRef B (instanceRef q16_3_9))
           (portRef A (instanceRef regq16_pipe_72_RNO))
          ))
          (net N_2092_i (joined
           (portRef Z (instanceRef regq16_pipe_72_RNO))
           (portRef D (instanceRef regq16_pipe_72))
          ))
          (net (rename sub16_w_8 "sub16_w[8]") (joined
           (portRef S1 (instanceRef sub16_w_cry_7_0))
           (portRef B (instanceRef q16_3_8))
           (portRef A (instanceRef regq16_pipe_83_RNO))
          ))
          (net N_2091_i (joined
           (portRef Z (instanceRef regq16_pipe_83_RNO))
           (portRef D (instanceRef regq16_pipe_83))
          ))
          (net (rename sub16_w_3 "sub16_w[3]") (joined
           (portRef S0 (instanceRef sub16_w_cry_3_0))
           (portRef A (instanceRef regq8_pipe_44_RNO))
           (portRef B (instanceRef q16_3_3))
           (portRef A (instanceRef regq16_pipe_136_RNO))
          ))
          (net N_2090_i (joined
           (portRef Z (instanceRef regq16_pipe_136_RNO))
           (portRef D (instanceRef regq16_pipe_136))
          ))
          (net (rename sub16_w_4 "sub16_w[4]") (joined
           (portRef S1 (instanceRef sub16_w_cry_3_0))
           (portRef A (instanceRef q8_16_0_a2_4))
           (portRef B (instanceRef q16_3_4))
           (portRef A (instanceRef regq16_pipe_125_RNO))
          ))
          (net N_16_i (joined
           (portRef Z (instanceRef regq16_pipe_125_RNO))
           (portRef D (instanceRef regq16_pipe_125))
          ))
          (net (rename sub16_w_6 "sub16_w[6]") (joined
           (portRef S1 (instanceRef sub16_w_cry_5_0))
           (portRef A (instanceRef regq8_pipe_11_RNO))
           (portRef B (instanceRef q16_3_6))
           (portRef A (instanceRef regq16_pipe_103_RNO))
          ))
          (net N_149_i (joined
           (portRef Z (instanceRef regq16_pipe_103_RNO))
           (portRef D (instanceRef regq16_pipe_103))
          ))
          (net N_948 (joined
           (portRef Z (instanceRef k_cpu_data_o_7_0_7))
           (portRef B (instanceRef k_cpu_data_o_7_0_RNIP3A51_7))
          ))
          (net (rename k_cpu_data_o_7_7 "k_cpu_data_o_7[7]") (joined
           (portRef Z (instanceRef k_cpu_data_o_7_0_RNIP3A51_7))
           (portRef (member k_cpu_data_o_7 0))
          ))
          (net N_946 (joined
           (portRef Z (instanceRef k_cpu_data_o_7_0_5))
           (portRef B (instanceRef k_cpu_data_o_7_0_RNILV951_5))
          ))
          (net (rename k_cpu_data_o_7_5 "k_cpu_data_o_7[5]") (joined
           (portRef Z (instanceRef k_cpu_data_o_7_0_RNILV951_5))
           (portRef (member k_cpu_data_o_7 2))
          ))
          (net N_945 (joined
           (portRef Z (instanceRef k_cpu_data_o_7_0_4))
           (portRef B (instanceRef k_cpu_data_o_7_0_RNIJT951_4))
          ))
          (net (rename k_cpu_data_o_7_4 "k_cpu_data_o_7[4]") (joined
           (portRef Z (instanceRef k_cpu_data_o_7_0_RNIJT951_4))
           (portRef (member k_cpu_data_o_7 3))
          ))
          (net N_944 (joined
           (portRef Z (instanceRef k_cpu_data_o_7_0_3))
           (portRef B (instanceRef k_cpu_data_o_7_0_RNIHR951_3))
          ))
          (net (rename k_cpu_data_o_7_3 "k_cpu_data_o_7[3]") (joined
           (portRef Z (instanceRef k_cpu_data_o_7_0_RNIHR951_3))
           (portRef (member k_cpu_data_o_7 4))
          ))
          (net N_943 (joined
           (portRef Z (instanceRef k_cpu_data_o_7_0_2))
           (portRef B (instanceRef k_cpu_data_o_7_0_RNIFP951_2))
          ))
          (net (rename k_cpu_data_o_7_2 "k_cpu_data_o_7[2]") (joined
           (portRef Z (instanceRef k_cpu_data_o_7_0_RNIFP951_2))
           (portRef (member k_cpu_data_o_7 5))
          ))
          (net N_942 (joined
           (portRef Z (instanceRef k_cpu_data_o_7_0_1))
           (portRef B (instanceRef k_cpu_data_o_7_0_RNI6EQ81_1))
          ))
          (net (rename k_cpu_data_o_7_1 "k_cpu_data_o_7[1]") (joined
           (portRef Z (instanceRef k_cpu_data_o_7_0_RNI6EQ81_1))
           (portRef (member k_cpu_data_o_7 6))
          ))
          (net un1_next_state_3_sqmuxa (joined
           (portRef Z (instanceRef un1_next_state_3_sqmuxa))
           (portRef D (instanceRef next_state_10_0))
           (portRef A (instanceRef un1_next_state_3_sqmuxa_RNIIB6Q))
          ))
          (net (rename dec_o_right_path_addr_0 "dec_o_right_path_addr[0]") (joined
           (portRef dec_o_right_path_addr_0)
           (portRef C (instanceRef un1_next_state_3_sqmuxa_RNIIB6Q))
          ))
          (net (rename next_state_10_5 "next_state_10[5]") (joined
           (portRef Z (instanceRef un1_next_state_3_sqmuxa_RNIIB6Q))
           (portRef next_state_10_5)
          ))
          (net un1_cen_o_i (joined
           (portRef Z (instanceRef un1_cen_o_i))
           (portRef un1_cen_o_i)
          ))
          (net (rename reset_cnt_3 "reset_cnt[3]") (joined
           (portRef (member reset_cnt 0))
           (portRef D (instanceRef un3_cpu_reset))
           (portRef D (instanceRef SUM3))
           (portRef A (instanceRef cpu_reset_i_4_i))
           (portRef B (instanceRef N_21_i))
          ))
          (net (rename reset_cnt_2 "reset_cnt[2]") (joined
           (portRef (member reset_cnt 1))
           (portRef C (instanceRef un3_cpu_reset))
           (portRef C (instanceRef SUM2))
           (portRef C (instanceRef SUM3))
           (portRef B (instanceRef cpu_reset_i_4_i))
           (portRef C (instanceRef N_21_i))
          ))
          (net (rename reset_cntZ0Z_1 "reset_cnt[1]") (joined
           (portRef (member reset_cnt 2))
           (portRef B (instanceRef SUM1_0))
           (portRef B (instanceRef un3_cpu_reset))
           (portRef B (instanceRef SUM2))
           (portRef B (instanceRef SUM3))
           (portRef C (instanceRef cpu_reset_i_4_i))
           (portRef D (instanceRef N_21_i))
          ))
          (net (rename reset_cnt_0 "reset_cnt[0]") (joined
           (portRef (member reset_cnt 3))
           (portRef A (instanceRef SUM1_0))
           (portRef A (instanceRef un3_cpu_reset))
           (portRef A (instanceRef SUM2))
           (portRef A (instanceRef SUM3))
           (portRef D (instanceRef cpu_reset_i_4_i))
           (portRef A (instanceRef N_21_i))
          ))
          (net cpu_reset_i_4_i (joined
           (portRef Z (instanceRef cpu_reset_i_4_i))
           (portRef cpu_reset_i_4_i)
          ))
          (net (rename datamux_o_alu_in_left_path_data_a1_0_0 "datamux_o_alu_in_left_path_data_a1_0[0]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_data_a0_0_0))
           (portRef B (instanceRef datamux_o_alu_in_left_path_data_7))
           (portRef A (instanceRef datamux_o_alu_in_left_path_data_sx_7))
           (portRef B (instanceRef datamux_o_alu_in_left_path_data_0_1_x1_0))
           (portRef B (instanceRef datamux_o_alu_in_left_path_data_0_1_x0_0))
           (portRef A (instanceRef datamux_o_alu_in_left_path_data_0_1_1_0))
           (portRef A (instanceRef datamux_o_alu_in_left_path_data_a1_0_0))
           (portRef B (instanceRef datamux_o_alu_in_left_path_data_6))
           (portRef B (instanceRef datamux_o_alu_in_left_path_data_5))
           (portRef B (instanceRef datamux_o_alu_in_left_path_data_1))
           (portRef B (instanceRef mul16_w_madd_3_8_d))
           (portRef B (instanceRef mul16_w_madd_3_1_b))
           (portRef C (instanceRef mul16_w_madd_3_1_a))
           (portRef C (instanceRef mul16_w_madd_2_1_a))
           (portRef C (instanceRef mul16_w_madd_0_0_a))
           (portRef B (instanceRef vshl8_r))
           (portRef B (instanceRef and8_w_1))
           (portRef B (instanceRef eor8_w_1))
           (portRef B (instanceRef regq8_pipe_7_RNO))
           (portRef B (instanceRef q16_10_am_0))
           (portRef B (instanceRef q16_11_d_bm_5))
           (portRef B (instanceRef q16_11_d_bm_6))
           (portRef B (instanceRef regq8_pipe_18_RNO))
           (portRef B (instanceRef regq8_pipe_29_RNO))
           (portRef B (instanceRef regq8_pipe_73_RNO))
          ))
          (net (rename datamux_o_alu_in_left_path_data_d_1 "datamux_o_alu_in_left_path_data_d[1]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_data_d_1))
           (portRef A (instanceRef datamux_o_alu_in_left_path_data_1))
           (portRef B (instanceRef mul16_w_madd_0_0_a))
           (portRef A (instanceRef and8_w_1))
           (portRef A (instanceRef eor8_w_1))
           (portRef A (instanceRef q16_10_am_0))
           (portRef C (instanceRef regq8_pipe_73_RNO))
          ))
          (net (rename datamux_o_alu_in_left_path_data_i_1 "datamux_o_alu_in_left_path_data_i[1]") (joined
           (portRef Z (instanceRef regq8_pipe_73_RNO))
           (portRef D (instanceRef regq8_pipe_73))
          ))
          (net datamux_o_alu_in_left_path_data6 (joined
           (portRef datamux_o_alu_in_left_path_data6)
           (portRef A0 (instanceRef neg16_w_s_15_0))
           (portRef C (instanceRef datamux_o_alu_in_left_path_data_0_1_0_0))
           (portRef D (instanceRef datamux_o_alu_in_left_path_data_mb_1_mb_3))
           (portRef C (instanceRef datamux_o_alu_in_left_path_data_mb_3))
           (portRef C (instanceRef datamux_o_alu_in_left_path_data_0_0))
           (portRef C (instanceRef datamux_o_alu_in_left_path_data_d_d_1))
           (portRef A (instanceRef datamux_o_alu_in_left_path_data_d_d_6))
           (portRef A (instanceRef datamux_o_alu_in_left_path_data_d_d_7))
           (portRef A (instanceRef datamux_o_alu_in_left_path_data_d_d_5))
           (portRef A (instanceRef datamux_o_alu_in_left_path_data_d_d_4))
           (portRef A (instanceRef datamux_o_alu_in_left_path_data_d_d_2))
           (portRef A (instanceRef datamux_o_alu_in_left_path_data_d_d_3))
           (portRef C (instanceRef datamux_o_alu_in_left_path_data_d_4))
           (portRef C (instanceRef datamux_o_alu_in_left_path_data_d_2))
           (portRef B (instanceRef datamux_o_alu_in_left_path_data_d_6))
           (portRef B (instanceRef datamux_o_alu_in_left_path_data_d_7))
           (portRef B (instanceRef datamux_o_alu_in_left_path_data_d_5))
           (portRef B (instanceRef datamux_o_alu_in_left_path_data_d_1))
           (portRef B (instanceRef datamux_o_alu_in_left_path_data_0_2_0))
           (portRef C (instanceRef datamux_o_alu_in_left_path_data_4))
           (portRef C (instanceRef datamux_o_alu_in_left_path_data_2))
           (portRef B (instanceRef datamux_o_alu_in_left_path_data_14))
           (portRef B (instanceRef datamux_o_alu_in_left_path_data_8))
           (portRef A (instanceRef datamux_o_alu_in_left_path_data_15))
           (portRef A (instanceRef datamux_o_alu_in_left_path_data_13))
           (portRef A (instanceRef datamux_o_alu_in_left_path_data_12))
           (portRef A (instanceRef datamux_o_alu_in_left_path_data_11))
           (portRef A (instanceRef datamux_o_alu_in_left_path_data_10))
           (portRef A (instanceRef datamux_o_alu_in_left_path_data_9))
           (portRef A (instanceRef vshl16_r))
           (portRef A (instanceRef vasr16_r))
           (portRef A (instanceRef q16_25_bm_8))
           (portRef A (instanceRef q16_25_bm_9))
           (portRef B (instanceRef q16_25_am_9))
           (portRef A (instanceRef q16_25_bm_10))
           (portRef B (instanceRef q16_25_am_10))
           (portRef A (instanceRef q16_25_bm_11))
           (portRef B (instanceRef q16_25_am_11))
           (portRef A (instanceRef q16_25_bm_12))
           (portRef B (instanceRef q16_25_am_12))
           (portRef A (instanceRef q16_25_bm_14))
           (portRef B (instanceRef q16_25_am_13))
           (portRef A (instanceRef q16_11_d_bm_8))
           (portRef A (instanceRef q16_11_d_bm_9))
           (portRef B (instanceRef regq8_pipe_40_RNO))
           (portRef B (instanceRef regq8_pipe_51_RNO))
           (portRef B (instanceRef regq8_pipe_62_RNO))
           (portRef D (instanceRef un1_next_state_3_sqmuxa_RNIIB6Q))
          ))
          (net (rename datamux_o_alu_in_left_path_data_d_2 "datamux_o_alu_in_left_path_data_d[2]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_data_d_2))
           (portRef A (instanceRef datamux_o_alu_in_left_path_data_2))
           (portRef D (instanceRef regq8_pipe_62_RNO))
          ))
          (net (rename datamux_o_alu_in_left_path_data_i_2 "datamux_o_alu_in_left_path_data_i[2]") (joined
           (portRef Z (instanceRef regq8_pipe_62_RNO))
           (portRef D (instanceRef regq8_pipe_62))
          ))
          (net (rename datamux_o_alu_in_left_path_data_mb_1_3 "datamux_o_alu_in_left_path_data_mb_1[3]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_data_mb_1_mb_3))
           (portRef B (instanceRef datamux_o_alu_in_left_path_data_mb_3))
           (portRef C (instanceRef regq8_pipe_51_RNO))
          ))
          (net datamux_N_5 (joined
           (portRef Z (instanceRef datamux_m5_i))
           (portRef B (instanceRef datamux_o_alu_in_left_path_data_a2_0_0))
           (portRef A (instanceRef datamux_o_alu_in_left_path_data_mb_3))
           (portRef B (instanceRef datamux_o_alu_in_left_path_data_4))
           (portRef B (instanceRef datamux_o_alu_in_left_path_data_2))
           (portRef A (instanceRef datamux_o_alu_in_left_path_data_14))
           (portRef A (instanceRef datamux_o_alu_in_left_path_data_8))
           (portRef A (instanceRef k_cpu_data_o_7_bm_6))
           (portRef A (instanceRef k_cpu_data_o_7_bm_0))
           (portRef C (instanceRef regq8_pipe_40_RNO))
           (portRef D (instanceRef regq8_pipe_51_RNO))
           (portRef C (instanceRef regq8_pipe_62_RNO))
           (portRef datamux_N_5)
          ))
          (net (rename datamux_o_alu_in_left_path_data_i_3 "datamux_o_alu_in_left_path_data_i[3]") (joined
           (portRef Z (instanceRef regq8_pipe_51_RNO))
           (portRef D (instanceRef regq8_pipe_51))
          ))
          (net (rename datamux_o_alu_in_left_path_data_d_4 "datamux_o_alu_in_left_path_data_d[4]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_data_d_4))
           (portRef A (instanceRef datamux_o_alu_in_left_path_data_4))
           (portRef D (instanceRef regq8_pipe_40_RNO))
          ))
          (net (rename datamux_o_alu_in_left_path_data_i_4 "datamux_o_alu_in_left_path_data_i[4]") (joined
           (portRef Z (instanceRef regq8_pipe_40_RNO))
           (portRef D (instanceRef regq8_pipe_40))
          ))
          (net (rename datamux_o_alu_in_left_path_data_d_5 "datamux_o_alu_in_left_path_data_d[5]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_data_d_5))
           (portRef A (instanceRef datamux_o_alu_in_left_path_data_5))
           (portRef B (instanceRef mul16_w_madd_2_1_a))
           (portRef C (instanceRef regq8_pipe_29_RNO))
          ))
          (net (rename datamux_o_alu_in_left_path_data_i_5 "datamux_o_alu_in_left_path_data_i[5]") (joined
           (portRef Z (instanceRef regq8_pipe_29_RNO))
           (portRef D (instanceRef regq8_pipe_29))
          ))
          (net (rename datamux_o_alu_in_left_path_data_d_6 "datamux_o_alu_in_left_path_data_d[6]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_data_d_6))
           (portRef A (instanceRef datamux_o_alu_in_left_path_data_6))
           (portRef A (instanceRef mul16_w_madd_3_1_b))
           (portRef A (instanceRef q16_11_d_bm_5))
           (portRef C (instanceRef regq8_pipe_18_RNO))
          ))
          (net (rename datamux_o_alu_in_left_path_data_i_6 "datamux_o_alu_in_left_path_data_i[6]") (joined
           (portRef Z (instanceRef regq8_pipe_18_RNO))
           (portRef D (instanceRef regq8_pipe_18))
          ))
          (net z16_2_9_bm (joined
           (portRef Z (instanceRef z16_2_9_bm))
           (portRef ALUT (instanceRef z16_2_9))
          ))
          (net z16_2_9_am (joined
           (portRef Z (instanceRef z16_2_9_am))
           (portRef BLUT (instanceRef z16_2_9))
          ))
          (net (rename dec_o_alu_opcodef_9_1 "dec_o_alu_opcodef_9[1]") (joined
           (portRef Q (instanceRef regq16_pipe_1))
           (portRef D (instanceRef q8_28_am_0))
           (portRef D (instanceRef q8_28_am_1_0))
           (portRef C0 (instanceRef q8_14_1))
           (portRef C (instanceRef q16_27_7))
           (portRef C (instanceRef q16_27_15))
           (portRef C (instanceRef q16_27_14))
           (portRef C (instanceRef q16_27_13))
           (portRef C (instanceRef q16_27_12))
           (portRef C (instanceRef q16_27_11))
           (portRef C (instanceRef q16_27_10))
           (portRef C (instanceRef q16_27_9))
           (portRef C (instanceRef q16_27_8))
           (portRef C (instanceRef q16_27_0))
           (portRef C (instanceRef q16_27_3))
           (portRef C (instanceRef q16_27_4))
           (portRef C (instanceRef q16_27_6))
           (portRef D (instanceRef z16_2_1))
           (portRef C (instanceRef q_out_1_am_1))
           (portRef C (instanceRef q_out_1_am_6))
           (portRef C (instanceRef q_out_1_am_5))
           (portRef C (instanceRef q_out_1_am_4))
           (portRef C (instanceRef q_out_1_am_3))
           (portRef C (instanceRef q_out_1_am_2))
           (portRef C0 (instanceRef z16_2_9))
          ))
          (net z16_2_9 (joined
           (portRef Z (instanceRef z16_2_9))
           (portRef D (instanceRef z16_2_12))
          ))
          (net (rename regq16_3 "regq16[3]") (joined
           (portRef Z (instanceRef q16_27_3))
           (portRef A (instanceRef z16_2_9_am))
           (portRef A (instanceRef z16_2_9_bm))
          ))
          (net (rename regq16_0 "regq16[0]") (joined
           (portRef Z (instanceRef q16_27_0))
           (portRef B (instanceRef q_out_1_0))
           (portRef B (instanceRef z16_2_9_am))
           (portRef B (instanceRef z16_2_9_bm))
          ))
          (net N_923 (joined
           (portRef Z (instanceRef q16_26_2))
           (portRef B (instanceRef q_out_1_am_2))
           (portRef C (instanceRef z16_2_9_bm))
          ))
          (net N_922 (joined
           (portRef Z (instanceRef q16_26_1))
           (portRef B (instanceRef q_out_1_am_1))
           (portRef D (instanceRef z16_2_9_bm))
          ))
          (net regq16_pipe_141 (joined
           (portRef Q (instanceRef regq16_pipe_141))
           (portRef A (instanceRef q_out_1_am_2))
           (portRef C (instanceRef z16_2_9_am))
          ))
          (net N_698 (joined
           (portRef Z (instanceRef q16_12_1))
           (portRef A (instanceRef q_out_1_am_1))
           (portRef D (instanceRef z16_2_9_am))
          ))
          (net reg_z_in (joined
           (portRef Q (instanceRef reg_z_in))
           (portRef D (instanceRef zff_pipe_9))
          ))
          (net reg_z_inf (joined
           (portRef Q (instanceRef zff_pipe_9))
           (portRef A (instanceRef z16_1))
          ))
          (net z8_2 (joined
           (portRef Z (instanceRef z8_2))
           (portRef D (instanceRef zff_pipe_7))
          ))
          (net cff_1_sqmuxa_1_RNIJDPB1 (joined
           (portRef cff_1_sqmuxa_1_RNIJDPB1)
           (portRef SP (instanceRef hflag_pipe_2))
           (portRef SP (instanceRef hflag_pipe_3))
           (portRef SP (instanceRef hflag_pipe_4))
           (portRef SP (instanceRef hflag_pipe_5))
           (portRef SP (instanceRef hflag_pipe_6))
           (portRef SP (instanceRef nff_pipe_10))
           (portRef SP (instanceRef nff_pipe_2))
           (portRef SP (instanceRef nff_pipe_4))
           (portRef SP (instanceRef nff_pipe_5))
           (portRef SP (instanceRef nff_pipe_6))
           (portRef SP (instanceRef nff_pipe_7))
           (portRef SP (instanceRef nff_pipe_8))
           (portRef SP (instanceRef nff_pipe_9))
           (portRef SP (instanceRef vff_pipe))
           (portRef SP (instanceRef vff_pipe_0))
           (portRef SP (instanceRef vff_pipe_1))
           (portRef SP (instanceRef vff_pipe_10))
           (portRef SP (instanceRef vff_pipe_11))
           (portRef SP (instanceRef vff_pipe_13))
           (portRef SP (instanceRef vff_pipe_14))
           (portRef SP (instanceRef vff_pipe_15))
           (portRef SP (instanceRef vff_pipe_17))
           (portRef SP (instanceRef vff_pipe_2))
           (portRef SP (instanceRef vff_pipe_22))
           (portRef SP (instanceRef vff_pipe_23))
           (portRef SP (instanceRef vff_pipe_25))
           (portRef SP (instanceRef vff_pipe_27))
           (portRef SP (instanceRef vff_pipe_31))
           (portRef SP (instanceRef vff_pipe_4))
           (portRef SP (instanceRef vff_pipe_41))
           (portRef SP (instanceRef vff_pipe_47))
           (portRef SP (instanceRef vff_pipe_49))
           (portRef SP (instanceRef vff_pipe_5))
           (portRef SP (instanceRef vff_pipe_55))
           (portRef SP (instanceRef vff_pipe_57))
           (portRef SP (instanceRef vff_pipe_65))
           (portRef SP (instanceRef vff_pipe_68))
           (portRef SP (instanceRef vff_pipe_7))
           (portRef SP (instanceRef vff_pipe_9))
           (portRef SP (instanceRef zff_pipe_2))
           (portRef SP (instanceRef zff_pipe_4))
           (portRef SP (instanceRef zff_pipe_5))
           (portRef SP (instanceRef zff_pipe_7))
           (portRef SP (instanceRef zff_pipe_9))
          ))
          (net cpu_clkgen (joined
           (portRef cpu_clkgen)
           (portRef CK (instanceRef hflag_pipe_2))
           (portRef CK (instanceRef hflag_pipe_3))
           (portRef CK (instanceRef hflag_pipe_4))
           (portRef CK (instanceRef hflag_pipe_5))
           (portRef CK (instanceRef hflag_pipe_6))
           (portRef CK (instanceRef k_new_pc_pipe))
           (portRef CK (instanceRef k_new_pc_pipe_1))
           (portRef CK (instanceRef k_new_pc_pipe_10))
           (portRef CK (instanceRef k_new_pc_pipe_11))
           (portRef CK (instanceRef k_new_pc_pipe_13))
           (portRef CK (instanceRef k_new_pc_pipe_14))
           (portRef CK (instanceRef k_new_pc_pipe_18))
           (portRef CK (instanceRef k_new_pc_pipe_19))
           (portRef CK (instanceRef k_new_pc_pipe_21))
           (portRef CK (instanceRef k_new_pc_pipe_25))
           (portRef CK (instanceRef k_new_pc_pipe_26))
           (portRef CK (instanceRef k_new_pc_pipe_28))
           (portRef CK (instanceRef k_new_pc_pipe_32))
           (portRef CK (instanceRef k_new_pc_pipe_33))
           (portRef CK (instanceRef k_new_pc_pipe_39))
           (portRef CK (instanceRef k_new_pc_pipe_4))
           (portRef CK (instanceRef k_new_pc_pipe_40))
           (portRef CK (instanceRef k_new_pc_pipe_44))
           (portRef CK (instanceRef k_new_pc_pipe_46))
           (portRef CK (instanceRef k_new_pc_pipe_49))
           (portRef CK (instanceRef k_new_pc_pipe_52))
           (portRef CK (instanceRef k_new_pc_pipe_58))
           (portRef CK (instanceRef k_new_pc_pipe_66))
           (portRef CK (instanceRef k_new_pc_pipe_67))
           (portRef CK (instanceRef k_new_pc_pipe_68))
           (portRef CK (instanceRef k_new_pc_pipe_69))
           (portRef CK (instanceRef k_new_pc_pipe_7))
           (portRef CK (instanceRef k_new_pc_pipe_71))
           (portRef CK (instanceRef k_new_pc_pipe_73))
           (portRef CK (instanceRef nff_pipe_10))
           (portRef CK (instanceRef nff_pipe_2))
           (portRef CK (instanceRef nff_pipe_4))
           (portRef CK (instanceRef nff_pipe_5))
           (portRef CK (instanceRef nff_pipe_6))
           (portRef CK (instanceRef nff_pipe_7))
           (portRef CK (instanceRef nff_pipe_8))
           (portRef CK (instanceRef nff_pipe_9))
           (portRef CK (instanceRef reg_n_in))
           (portRef CK (instanceRef reg_z_in))
           (portRef CK (instanceRef regq16_pipe))
           (portRef CK (instanceRef regq16_pipe_1))
           (portRef CK (instanceRef regq16_pipe_101))
           (portRef CK (instanceRef regq16_pipe_102))
           (portRef CK (instanceRef regq16_pipe_103))
           (portRef CK (instanceRef regq16_pipe_105))
           (portRef CK (instanceRef regq16_pipe_108))
           (portRef CK (instanceRef regq16_pipe_11))
           (portRef CK (instanceRef regq16_pipe_110))
           (portRef CK (instanceRef regq16_pipe_112))
           (portRef CK (instanceRef regq16_pipe_114))
           (portRef CK (instanceRef regq16_pipe_116))
           (portRef CK (instanceRef regq16_pipe_119))
           (portRef CK (instanceRef regq16_pipe_121))
           (portRef CK (instanceRef regq16_pipe_123))
           (portRef CK (instanceRef regq16_pipe_125))
           (portRef CK (instanceRef regq16_pipe_127))
           (portRef CK (instanceRef regq16_pipe_13))
           (portRef CK (instanceRef regq16_pipe_130))
           (portRef CK (instanceRef regq16_pipe_132))
           (portRef CK (instanceRef regq16_pipe_134))
           (portRef CK (instanceRef regq16_pipe_136))
           (portRef CK (instanceRef regq16_pipe_138))
           (portRef CK (instanceRef regq16_pipe_14))
           (portRef CK (instanceRef regq16_pipe_141))
           (portRef CK (instanceRef regq16_pipe_143))
           (portRef CK (instanceRef regq16_pipe_145))
           (portRef CK (instanceRef regq16_pipe_147))
           (portRef CK (instanceRef regq16_pipe_149))
           (portRef CK (instanceRef regq16_pipe_15))
           (portRef CK (instanceRef regq16_pipe_152))
           (portRef CK (instanceRef regq16_pipe_154))
           (portRef CK (instanceRef regq16_pipe_156))
           (portRef CK (instanceRef regq16_pipe_158))
           (portRef CK (instanceRef regq16_pipe_160))
           (portRef CK (instanceRef regq16_pipe_161))
           (portRef CK (instanceRef regq16_pipe_163))
           (portRef CK (instanceRef regq16_pipe_165))
           (portRef CK (instanceRef regq16_pipe_167))
           (portRef CK (instanceRef regq16_pipe_169))
           (portRef CK (instanceRef regq16_pipe_17))
           (portRef CK (instanceRef regq16_pipe_171))
           (portRef CK (instanceRef regq16_pipe_172))
           (portRef CK (instanceRef regq16_pipe_174))
           (portRef CK (instanceRef regq16_pipe_178))
           (portRef CK (instanceRef regq16_pipe_183))
           (portRef CK (instanceRef regq16_pipe_185))
           (portRef CK (instanceRef regq16_pipe_19))
           (portRef CK (instanceRef regq16_pipe_2))
           (portRef CK (instanceRef regq16_pipe_20))
           (portRef CK (instanceRef regq16_pipe_22))
           (portRef CK (instanceRef regq16_pipe_24))
           (portRef CK (instanceRef regq16_pipe_26))
           (portRef CK (instanceRef regq16_pipe_28))
           (portRef CK (instanceRef regq16_pipe_30))
           (portRef CK (instanceRef regq16_pipe_31))
           (portRef CK (instanceRef regq16_pipe_33))
           (portRef CK (instanceRef regq16_pipe_35))
           (portRef CK (instanceRef regq16_pipe_37))
           (portRef CK (instanceRef regq16_pipe_39))
           (portRef CK (instanceRef regq16_pipe_4))
           (portRef CK (instanceRef regq16_pipe_41))
           (portRef CK (instanceRef regq16_pipe_42))
           (portRef CK (instanceRef regq16_pipe_44))
           (portRef CK (instanceRef regq16_pipe_46))
           (portRef CK (instanceRef regq16_pipe_48))
           (portRef CK (instanceRef regq16_pipe_50))
           (portRef CK (instanceRef regq16_pipe_52))
           (portRef CK (instanceRef regq16_pipe_53))
           (portRef CK (instanceRef regq16_pipe_55))
           (portRef CK (instanceRef regq16_pipe_57))
           (portRef CK (instanceRef regq16_pipe_59))
           (portRef CK (instanceRef regq16_pipe_6))
           (portRef CK (instanceRef regq16_pipe_61))
           (portRef CK (instanceRef regq16_pipe_63))
           (portRef CK (instanceRef regq16_pipe_64))
           (portRef CK (instanceRef regq16_pipe_66))
           (portRef CK (instanceRef regq16_pipe_68))
           (portRef CK (instanceRef regq16_pipe_7))
           (portRef CK (instanceRef regq16_pipe_70))
           (portRef CK (instanceRef regq16_pipe_72))
           (portRef CK (instanceRef regq16_pipe_74))
           (portRef CK (instanceRef regq16_pipe_75))
           (portRef CK (instanceRef regq16_pipe_77))
           (portRef CK (instanceRef regq16_pipe_79))
           (portRef CK (instanceRef regq16_pipe_8))
           (portRef CK (instanceRef regq16_pipe_81))
           (portRef CK (instanceRef regq16_pipe_83))
           (portRef CK (instanceRef regq16_pipe_85))
           (portRef CK (instanceRef regq16_pipe_86))
           (portRef CK (instanceRef regq16_pipe_88))
           (portRef CK (instanceRef regq16_pipe_9))
           (portRef CK (instanceRef regq16_pipe_90))
           (portRef CK (instanceRef regq16_pipe_92))
           (portRef CK (instanceRef regq16_pipe_94))
           (portRef CK (instanceRef regq16_pipe_95))
           (portRef CK (instanceRef regq16_pipe_97))
           (portRef CK (instanceRef regq16_pipe_99))
           (portRef CK (instanceRef regq8_pipe))
           (portRef CK (instanceRef regq8_pipe_1))
           (portRef CK (instanceRef regq8_pipe_100))
           (portRef CK (instanceRef regq8_pipe_107))
           (portRef CK (instanceRef regq8_pipe_11))
           (portRef CK (instanceRef regq8_pipe_110))
           (portRef CK (instanceRef regq8_pipe_112))
           (portRef CK (instanceRef regq8_pipe_116))
           (portRef CK (instanceRef regq8_pipe_118))
           (portRef CK (instanceRef regq8_pipe_119))
           (portRef CK (instanceRef regq8_pipe_12))
           (portRef CK (instanceRef regq8_pipe_122))
           (portRef CK (instanceRef regq8_pipe_127))
           (portRef CK (instanceRef regq8_pipe_129))
           (portRef CK (instanceRef regq8_pipe_13))
           (portRef CK (instanceRef regq8_pipe_15))
           (portRef CK (instanceRef regq8_pipe_17))
           (portRef CK (instanceRef regq8_pipe_18))
           (portRef CK (instanceRef regq8_pipe_2))
           (portRef CK (instanceRef regq8_pipe_20))
           (portRef CK (instanceRef regq8_pipe_22))
           (portRef CK (instanceRef regq8_pipe_24))
           (portRef CK (instanceRef regq8_pipe_26))
           (portRef CK (instanceRef regq8_pipe_28))
           (portRef CK (instanceRef regq8_pipe_29))
           (portRef CK (instanceRef regq8_pipe_31))
           (portRef CK (instanceRef regq8_pipe_33))
           (portRef CK (instanceRef regq8_pipe_35))
           (portRef CK (instanceRef regq8_pipe_37))
           (portRef CK (instanceRef regq8_pipe_39))
           (portRef CK (instanceRef regq8_pipe_4))
           (portRef CK (instanceRef regq8_pipe_40))
           (portRef CK (instanceRef regq8_pipe_42))
           (portRef CK (instanceRef regq8_pipe_44))
           (portRef CK (instanceRef regq8_pipe_46))
           (portRef CK (instanceRef regq8_pipe_48))
           (portRef CK (instanceRef regq8_pipe_50))
           (portRef CK (instanceRef regq8_pipe_51))
           (portRef CK (instanceRef regq8_pipe_53))
           (portRef CK (instanceRef regq8_pipe_55))
           (portRef CK (instanceRef regq8_pipe_57))
           (portRef CK (instanceRef regq8_pipe_59))
           (portRef CK (instanceRef regq8_pipe_6))
           (portRef CK (instanceRef regq8_pipe_61))
           (portRef CK (instanceRef regq8_pipe_62))
           (portRef CK (instanceRef regq8_pipe_64))
           (portRef CK (instanceRef regq8_pipe_65))
           (portRef CK (instanceRef regq8_pipe_68))
           (portRef CK (instanceRef regq8_pipe_7))
           (portRef CK (instanceRef regq8_pipe_70))
           (portRef CK (instanceRef regq8_pipe_73))
           (portRef CK (instanceRef regq8_pipe_75))
           (portRef CK (instanceRef regq8_pipe_77))
           (portRef CK (instanceRef regq8_pipe_8))
           (portRef CK (instanceRef regq8_pipe_81))
           (portRef CK (instanceRef regq8_pipe_83))
           (portRef CK (instanceRef regq8_pipe_84))
           (portRef CK (instanceRef regq8_pipe_86))
           (portRef CK (instanceRef regq8_pipe_88))
           (portRef CK (instanceRef regq8_pipe_9))
           (portRef CK (instanceRef regq8_pipe_90))
           (portRef CK (instanceRef vff_pipe))
           (portRef CK (instanceRef vff_pipe_0))
           (portRef CK (instanceRef vff_pipe_1))
           (portRef CK (instanceRef vff_pipe_10))
           (portRef CK (instanceRef vff_pipe_11))
           (portRef CK (instanceRef vff_pipe_13))
           (portRef CK (instanceRef vff_pipe_14))
           (portRef CK (instanceRef vff_pipe_15))
           (portRef CK (instanceRef vff_pipe_17))
           (portRef CK (instanceRef vff_pipe_2))
           (portRef CK (instanceRef vff_pipe_22))
           (portRef CK (instanceRef vff_pipe_23))
           (portRef CK (instanceRef vff_pipe_25))
           (portRef CK (instanceRef vff_pipe_27))
           (portRef CK (instanceRef vff_pipe_31))
           (portRef CK (instanceRef vff_pipe_4))
           (portRef CK (instanceRef vff_pipe_41))
           (portRef CK (instanceRef vff_pipe_47))
           (portRef CK (instanceRef vff_pipe_49))
           (portRef CK (instanceRef vff_pipe_5))
           (portRef CK (instanceRef vff_pipe_55))
           (portRef CK (instanceRef vff_pipe_57))
           (portRef CK (instanceRef vff_pipe_65))
           (portRef CK (instanceRef vff_pipe_68))
           (portRef CK (instanceRef vff_pipe_7))
           (portRef CK (instanceRef vff_pipe_9))
           (portRef CK (instanceRef zff_pipe_2))
           (portRef CK (instanceRef zff_pipe_4))
           (portRef CK (instanceRef zff_pipe_5))
           (portRef CK (instanceRef zff_pipe_7))
           (portRef CK (instanceRef zff_pipe_9))
          ))
          (net z8_2f (joined
           (portRef Q (instanceRef zff_pipe_7))
           (portRef A (instanceRef CCRo_bm_2))
          ))
          (net VCC (joined
           (portRef Z (instanceRef VCC))
           (portRef A1 (instanceRef sbc8_w_cry_7_0))
           (portRef A1 (instanceRef sbc16_w_cry_15_0))
           (portRef B0 (instanceRef sub16_w_cry_0_0))
           (portRef A1 (instanceRef sub16_w_cry_15_0))
           (portRef B0 (instanceRef sub8_w_cry_0_0))
           (portRef A1 (instanceRef sub8_w_cry_7_0))
           (portRef B0 (instanceRef neg16_w_cry_0_0))
          ))
          (net (rename CCRo_3f_2 "CCRo_3f[2]") (joined
           (portRef Q (instanceRef zff_pipe_5))
           (portRef C (instanceRef CCRo_am_2))
          ))
          (net (rename CCRo_2f_2 "CCRo_2f[2]") (joined
           (portRef Q (instanceRef zff_pipe_4))
           (portRef B (instanceRef CCRo_am_2))
          ))
          (net z16_2 (joined
           (portRef Z (instanceRef z16_2))
           (portRef D (instanceRef zff_pipe_2))
          ))
          (net z16_2f (joined
           (portRef Q (instanceRef zff_pipe_2))
           (portRef C (instanceRef z16_1))
          ))
          (net N_961 (joined
           (portRef Z (instanceRef v8_9))
           (portRef D (instanceRef vff_pipe_9))
          ))
          (net vff_pipe_9 (joined
           (portRef Q (instanceRef vff_pipe_9))
           (portRef A (instanceRef v8_18_am_1))
          ))
          (net vshl16_r (joined
           (portRef Z (instanceRef vshl16_r))
           (portRef D (instanceRef vff_pipe_7))
          ))
          (net vshl16_rf (joined
           (portRef Q (instanceRef vff_pipe_7))
           (portRef A (instanceRef v16_11_bm))
          ))
          (net vasr8_r (joined
           (portRef Z (instanceRef vasr8_r))
           (portRef D (instanceRef vff_pipe_68))
          ))
          (net vasr8_rf (joined
           (portRef Q (instanceRef vff_pipe_68))
           (portRef A (instanceRef v8_14))
          ))
          (net vasr16_r (joined
           (portRef Z (instanceRef vasr16_r))
           (portRef D (instanceRef vff_pipe_65))
          ))
          (net vasr16_rf (joined
           (portRef Q (instanceRef vff_pipe_65))
           (portRef A (instanceRef v16_17_bm))
          ))
          (net vadd8_r (joined
           (portRef Z (instanceRef vadd8_r))
           (portRef D (instanceRef vff_pipe_57))
          ))
          (net vadd8_rf (joined
           (portRef Q (instanceRef vff_pipe_57))
           (portRef A (instanceRef v8_3_bm))
          ))
          (net vsbc8_r (joined
           (portRef Z (instanceRef vsbc8_r))
           (portRef D (instanceRef vff_pipe_55))
          ))
          (net vsbc8_rf (joined
           (portRef Q (instanceRef vff_pipe_55))
           (portRef A (instanceRef v8_3_am))
          ))
          (net (rename CCRo_3f_1 "CCRo_3f[1]") (joined
           (portRef Q (instanceRef vff_pipe_5))
           (portRef C (instanceRef CCRo_am_1))
          ))
          (net vadd16_r (joined
           (portRef Z (instanceRef vadd16_r))
           (portRef D (instanceRef vff_pipe_49))
          ))
          (net vadd16_rf (joined
           (portRef Q (instanceRef vff_pipe_49))
           (portRef A (instanceRef v16_3_bm))
          ))
          (net vsbc16_r (joined
           (portRef Z (instanceRef vsbc16_r))
           (portRef D (instanceRef vff_pipe_47))
          ))
          (net vsbc16_rf (joined
           (portRef Q (instanceRef vff_pipe_47))
           (portRef A (instanceRef v16_3_am))
          ))
          (net vneg8_r (joined
           (portRef Z (instanceRef vneg8_r))
           (portRef D (instanceRef vff_pipe_41))
          ))
          (net vneg8_rf (joined
           (portRef Q (instanceRef vff_pipe_41))
           (portRef A (instanceRef v8_4))
          ))
          (net (rename CCRo_2f_1 "CCRo_2f[1]") (joined
           (portRef Q (instanceRef vff_pipe_4))
           (portRef B (instanceRef CCRo_am_1))
          ))
          (net vneg16_r (joined
           (portRef Z (instanceRef vneg16_r))
           (portRef D (instanceRef vff_pipe_31))
          ))
          (net vneg16_rf (joined
           (portRef Q (instanceRef vff_pipe_31))
           (portRef A (instanceRef v16_4))
          ))
          (net vshl8_r (joined
           (portRef Z (instanceRef vshl8_r))
           (portRef D (instanceRef vff_pipe_27))
          ))
          (net vshl8_rf (joined
           (portRef Q (instanceRef vff_pipe_27))
           (portRef A (instanceRef v8_10))
          ))
          (net vff_pipe_25 (joined
           (portRef Q (instanceRef vff_pipe_25))
           (portRef A (instanceRef v8_16))
          ))
          (net vff_pipe_23 (joined
           (portRef Q (instanceRef vff_pipe_23))
           (portRef A (instanceRef v16_14))
           (portRef B (instanceRef v16_6))
           (portRef B (instanceRef v8_6))
          ))
          (net (rename dec_o_alu_opcodef_4 "dec_o_alu_opcodef[4]") (joined
           (portRef Q (instanceRef vff_pipe_22))
           (portRef D (instanceRef v8_18_am_1))
           (portRef C (instanceRef v8_16))
           (portRef C (instanceRef v16_7))
           (portRef C0 (instanceRef v16_11))
           (portRef C (instanceRef v16_18))
          ))
          (net (rename dec_o_alu_opcode_1 "dec_o_alu_opcode[1]") (joined
           (portRef (member dec_o_alu_opcode 3))
           (portRef A (instanceRef regq8_pipe_12_RNO))
           (portRef C (instanceRef c16_5_i_m2))
           (portRef B (instanceRef CCRo19_0_a2))
           (portRef C (instanceRef un1_opcode_in_9))
           (portRef C (instanceRef CCRo19_0_a2_RNIO48BD))
           (portRef D (instanceRef v8_9))
           (portRef SD (instanceRef q8_14_4))
           (portRef C (instanceRef q8_14_3))
           (portRef C (instanceRef q8_14_2))
           (portRef C (instanceRef q8_14_5))
           (portRef C (instanceRef q8_14_6))
           (portRef SD (instanceRef q8_14_7))
           (portRef D (instanceRef regq16_pipe_1))
           (portRef D (instanceRef vff_pipe_2))
           (portRef A (instanceRef vff_pipe_23_RNO))
          ))
          (net (rename dec_o_alu_opcodef_1 "dec_o_alu_opcodef[1]") (joined
           (portRef Q (instanceRef vff_pipe_2))
           (portRef B (instanceRef v8_14))
           (portRef B (instanceRef v8_10))
           (portRef B (instanceRef v16_4))
           (portRef C (instanceRef v16_8))
           (portRef B (instanceRef v8_4))
           (portRef B (instanceRef v16_17_bm))
           (portRef C (instanceRef v16_17_am))
           (portRef C (instanceRef v16_3_bm))
           (portRef B (instanceRef v16_3_am))
           (portRef C (instanceRef v8_3_bm))
           (portRef B (instanceRef v8_3_am))
           (portRef B (instanceRef v16_11_bm))
          ))
          (net vsub16_r (joined
           (portRef Z (instanceRef vsub16_r))
           (portRef D (instanceRef vff_pipe_17))
          ))
          (net vsub16_rf (joined
           (portRef Q (instanceRef vff_pipe_17))
           (portRef B (instanceRef v16_8))
           (portRef B (instanceRef v16_3_bm))
          ))
          (net (rename regs_o_CCR_1 "regs_o_CCR[1]") (joined
           (portRef regs_o_CCR_1)
           (portRef D (instanceRef vff_pipe_15))
          ))
          (net (rename regs_o_CCRf_1 "regs_o_CCRf[1]") (joined
           (portRef Q (instanceRef vff_pipe_15))
           (portRef C (instanceRef v8_14))
           (portRef C (instanceRef v16_14))
           (portRef C (instanceRef v8_10))
           (portRef C (instanceRef v16_4))
           (portRef C (instanceRef v8_4))
           (portRef C (instanceRef v16_17_bm))
           (portRef C (instanceRef v16_3_am))
           (portRef C (instanceRef v8_3_am))
           (portRef C (instanceRef v16_11_bm))
           (portRef C (instanceRef v16_11_am))
           (portRef C (instanceRef v16_20_bm))
           (portRef C (instanceRef v8_18_bm))
          ))
          (net (rename dec_o_alu_opcodef_3 "dec_o_alu_opcodef[3]") (joined
           (portRef Q (instanceRef vff_pipe_14))
           (portRef D (instanceRef v8_18_am))
           (portRef C (instanceRef v8_18_am_1))
           (portRef B (instanceRef v16_20_bm))
           (portRef C (instanceRef v16_20_am))
           (portRef B (instanceRef v8_18_bm))
          ))
          (net vsub8_r (joined
           (portRef Z (instanceRef vsub8_r))
           (portRef B (instanceRef v8_9))
           (portRef D (instanceRef vff_pipe_13))
          ))
          (net vsub8_rf (joined
           (portRef Q (instanceRef vff_pipe_13))
           (portRef B (instanceRef v8_3_bm))
          ))
          (net vadc16_r (joined
           (portRef Z (instanceRef vadc16_r))
           (portRef D (instanceRef vff_pipe_11))
          ))
          (net vadc16_rf (joined
           (portRef Q (instanceRef vff_pipe_11))
           (portRef A (instanceRef v16_8))
          ))
          (net (rename dec_o_alu_opcode_2 "dec_o_alu_opcode[2]") (joined
           (portRef (member dec_o_alu_opcode 2))
           (portRef A (instanceRef CCRo19_0_a2_0))
           (portRef C (instanceRef regq16_pipe_RNO_0))
           (portRef C (instanceRef regq16_pipe_RNO_3))
           (portRef D (instanceRef regq16_pipe_RNO))
           (portRef C (instanceRef q8_7_bm_1_7))
           (portRef C (instanceRef q8_7_bm_4))
           (portRef A (instanceRef q8_12_0_1))
           (portRef A (instanceRef q16_11_s_13))
           (portRef A (instanceRef q16_12_s_14))
           (portRef A (instanceRef q8_16_i_o3_3))
           (portRef A (instanceRef q16_m1_e_0_0))
           (portRef A (instanceRef q16_m2_e_0))
           (portRef B (instanceRef q8_16_2))
           (portRef B (instanceRef q8_16_5))
           (portRef B (instanceRef q8_16_0_a2_4))
           (portRef B (instanceRef q8_16_7))
           (portRef B (instanceRef q8_16_i_1))
           (portRef C (instanceRef regq8_pipe_7_RNO))
           (portRef B (instanceRef regq8_pipe_44_RNO))
           (portRef B (instanceRef regq8_pipe_11_RNO))
           (portRef C (instanceRef q8_19_7))
           (portRef C (instanceRef q8_19_6))
           (portRef C (instanceRef q8_19_5))
           (portRef C (instanceRef q8_19_4))
           (portRef C (instanceRef q8_19_3))
           (portRef C (instanceRef q8_19_2))
           (portRef C0 (instanceRef q16_25_8))
           (portRef C0 (instanceRef q16_25_9))
           (portRef C0 (instanceRef q16_25_10))
           (portRef C0 (instanceRef q16_25_11))
           (portRef C0 (instanceRef q16_25_12))
           (portRef C0 (instanceRef q16_25_14))
           (portRef B (instanceRef q16_4_1))
           (portRef C0 (instanceRef q16_25_13))
           (portRef C0 (instanceRef q16_11_d_5))
           (portRef C0 (instanceRef q16_11_d_6))
           (portRef C0 (instanceRef q16_11_d_8))
           (portRef C0 (instanceRef q16_11_d_7))
           (portRef C0 (instanceRef q16_11_d_9))
           (portRef C0 (instanceRef q16_11_d_10))
           (portRef C0 (instanceRef q16_11_d_11))
           (portRef C0 (instanceRef q16_11_d_12))
           (portRef C0 (instanceRef q16_11_d_13))
           (portRef C0 (instanceRef q16_25_3))
           (portRef C0 (instanceRef q8_3_3))
           (portRef C0 (instanceRef q16_25_4))
           (portRef C0 (instanceRef q16_25_5))
           (portRef C0 (instanceRef q16_25_6))
           (portRef C0 (instanceRef q16_25_2))
           (portRef C0 (instanceRef q16_11_4))
           (portRef C0 (instanceRef q8_3_2))
           (portRef C0 (instanceRef q8_3_5))
           (portRef C0 (instanceRef q8_3_6))
           (portRef C (instanceRef q8_13_bm_7))
           (portRef C (instanceRef q8_13_am_7))
           (portRef C0 (instanceRef q16_11_3))
           (portRef C0 (instanceRef q16_25_0))
           (portRef C0 (instanceRef q16_25_7))
           (portRef C (instanceRef q8_13_bm_4))
           (portRef C (instanceRef q8_13_am_4))
           (portRef C (instanceRef q8_13_bm_5))
           (portRef C (instanceRef q8_13_am_5))
           (portRef C (instanceRef q8_13_bm_3))
           (portRef C (instanceRef q8_13_am_3))
           (portRef C (instanceRef q8_13_bm_6))
           (portRef C (instanceRef q8_13_am_6))
           (portRef C (instanceRef q8_13_bm_2))
           (portRef C (instanceRef q8_13_am_2))
           (portRef B (instanceRef q16_12_d_am_14))
           (portRef C (instanceRef q8_3_0))
           (portRef C0 (instanceRef q16_25_15))
           (portRef C (instanceRef q16_12_d_8))
           (portRef C (instanceRef q16_12_d_7))
           (portRef C (instanceRef q16_12_d_9))
           (portRef C (instanceRef q16_12_d_10))
           (portRef C (instanceRef q16_12_d_11))
           (portRef C (instanceRef q16_12_d_12))
           (portRef C (instanceRef q16_12_d_13))
           (portRef C (instanceRef q8_7_am_4))
           (portRef C (instanceRef q16_12_4))
           (portRef C (instanceRef q16_12_3))
           (portRef C0 (instanceRef q16_11_2))
           (portRef B (instanceRef q16_RNO_1_5))
           (portRef C (instanceRef q16_RNO_2_5))
           (portRef C (instanceRef q16_12_am_6))
           (portRef C (instanceRef q16_12_bm_0))
           (portRef D (instanceRef q16_12_am_0))
           (portRef C (instanceRef q16_12_2))
           (portRef C0 (instanceRef q8_6_3))
           (portRef C0 (instanceRef q8_6_2))
           (portRef C0 (instanceRef q8_6_5))
           (portRef C0 (instanceRef q8_6_6))
           (portRef C (instanceRef q8_7_am_7))
           (portRef D (instanceRef regq16_pipe_102))
           (portRef D (instanceRef vff_pipe_10))
           (portRef D (instanceRef q16_RNO_5))
          ))
          (net (rename dec_o_alu_opcodef_2 "dec_o_alu_opcodef[2]") (joined
           (portRef Q (instanceRef vff_pipe_10))
           (portRef C0 (instanceRef v16_20))
           (portRef C0 (instanceRef v8_18))
          ))
          (net vror16_r (joined
           (portRef Z (instanceRef vror16_r))
           (portRef D (instanceRef vff_pipe_1))
          ))
          (net vror16_rf (joined
           (portRef Q (instanceRef vff_pipe_1))
           (portRef A (instanceRef v16_17_am))
          ))
          (net (rename datamux_o_alu_in_left_path_dataf_5_0 "datamux_o_alu_in_left_path_dataf_5[0]") (joined
           (portRef Q (instanceRef vff_pipe_0))
           (portRef B (instanceRef v16_17_am))
           (portRef B (instanceRef v8_15))
          ))
          (net (rename dec_o_alu_opcodef_0 "dec_o_alu_opcodef[0]") (joined
           (portRef Q (instanceRef vff_pipe))
           (portRef B (instanceRef v16_14))
           (portRef C0 (instanceRef v16_17))
           (portRef C (instanceRef v8_15))
           (portRef C0 (instanceRef v16_3))
           (portRef C (instanceRef v16_6))
           (portRef C0 (instanceRef v8_3))
           (portRef C (instanceRef v8_6))
           (portRef B (instanceRef v16_11_am))
          ))
          (net N_321 (joined
           (portRef Z (instanceRef q8_4_0_a3_0))
           (portRef D (instanceRef regq8_pipe_90))
          ))
          (net regq8_pipe_90 (joined
           (portRef Q (instanceRef regq8_pipe_90))
           (portRef A (instanceRef q8_6_0))
          ))
          (net N_407 (joined
           (portRef Z (instanceRef q8_14_6))
           (portRef D (instanceRef regq8_pipe_9))
          ))
          (net cpu_clk_i (joined
           (portRef cpu_clk_i)
           (portRef SP (instanceRef reg_n_in))
           (portRef SP (instanceRef reg_z_in))
           (portRef SP (instanceRef regq16_pipe))
           (portRef SP (instanceRef regq16_pipe_1))
           (portRef SP (instanceRef regq16_pipe_101))
           (portRef SP (instanceRef regq16_pipe_102))
           (portRef SP (instanceRef regq16_pipe_103))
           (portRef SP (instanceRef regq16_pipe_105))
           (portRef SP (instanceRef regq16_pipe_108))
           (portRef SP (instanceRef regq16_pipe_11))
           (portRef SP (instanceRef regq16_pipe_110))
           (portRef SP (instanceRef regq16_pipe_112))
           (portRef SP (instanceRef regq16_pipe_114))
           (portRef SP (instanceRef regq16_pipe_116))
           (portRef SP (instanceRef regq16_pipe_119))
           (portRef SP (instanceRef regq16_pipe_121))
           (portRef SP (instanceRef regq16_pipe_123))
           (portRef SP (instanceRef regq16_pipe_125))
           (portRef SP (instanceRef regq16_pipe_127))
           (portRef SP (instanceRef regq16_pipe_13))
           (portRef SP (instanceRef regq16_pipe_130))
           (portRef SP (instanceRef regq16_pipe_132))
           (portRef SP (instanceRef regq16_pipe_134))
           (portRef SP (instanceRef regq16_pipe_136))
           (portRef SP (instanceRef regq16_pipe_138))
           (portRef SP (instanceRef regq16_pipe_14))
           (portRef SP (instanceRef regq16_pipe_141))
           (portRef SP (instanceRef regq16_pipe_143))
           (portRef SP (instanceRef regq16_pipe_145))
           (portRef SP (instanceRef regq16_pipe_147))
           (portRef SP (instanceRef regq16_pipe_149))
           (portRef SP (instanceRef regq16_pipe_15))
           (portRef SP (instanceRef regq16_pipe_152))
           (portRef SP (instanceRef regq16_pipe_154))
           (portRef SP (instanceRef regq16_pipe_156))
           (portRef SP (instanceRef regq16_pipe_158))
           (portRef SP (instanceRef regq16_pipe_160))
           (portRef SP (instanceRef regq16_pipe_161))
           (portRef SP (instanceRef regq16_pipe_163))
           (portRef SP (instanceRef regq16_pipe_165))
           (portRef SP (instanceRef regq16_pipe_167))
           (portRef SP (instanceRef regq16_pipe_169))
           (portRef SP (instanceRef regq16_pipe_17))
           (portRef SP (instanceRef regq16_pipe_171))
           (portRef SP (instanceRef regq16_pipe_172))
           (portRef SP (instanceRef regq16_pipe_174))
           (portRef SP (instanceRef regq16_pipe_178))
           (portRef SP (instanceRef regq16_pipe_183))
           (portRef SP (instanceRef regq16_pipe_185))
           (portRef SP (instanceRef regq16_pipe_19))
           (portRef SP (instanceRef regq16_pipe_2))
           (portRef SP (instanceRef regq16_pipe_20))
           (portRef SP (instanceRef regq16_pipe_22))
           (portRef SP (instanceRef regq16_pipe_24))
           (portRef SP (instanceRef regq16_pipe_26))
           (portRef SP (instanceRef regq16_pipe_28))
           (portRef SP (instanceRef regq16_pipe_30))
           (portRef SP (instanceRef regq16_pipe_31))
           (portRef SP (instanceRef regq16_pipe_33))
           (portRef SP (instanceRef regq16_pipe_35))
           (portRef SP (instanceRef regq16_pipe_37))
           (portRef SP (instanceRef regq16_pipe_39))
           (portRef SP (instanceRef regq16_pipe_4))
           (portRef SP (instanceRef regq16_pipe_41))
           (portRef SP (instanceRef regq16_pipe_42))
           (portRef SP (instanceRef regq16_pipe_44))
           (portRef SP (instanceRef regq16_pipe_46))
           (portRef SP (instanceRef regq16_pipe_48))
           (portRef SP (instanceRef regq16_pipe_50))
           (portRef SP (instanceRef regq16_pipe_52))
           (portRef SP (instanceRef regq16_pipe_53))
           (portRef SP (instanceRef regq16_pipe_55))
           (portRef SP (instanceRef regq16_pipe_57))
           (portRef SP (instanceRef regq16_pipe_59))
           (portRef SP (instanceRef regq16_pipe_6))
           (portRef SP (instanceRef regq16_pipe_61))
           (portRef SP (instanceRef regq16_pipe_63))
           (portRef SP (instanceRef regq16_pipe_64))
           (portRef SP (instanceRef regq16_pipe_66))
           (portRef SP (instanceRef regq16_pipe_68))
           (portRef SP (instanceRef regq16_pipe_7))
           (portRef SP (instanceRef regq16_pipe_70))
           (portRef SP (instanceRef regq16_pipe_72))
           (portRef SP (instanceRef regq16_pipe_74))
           (portRef SP (instanceRef regq16_pipe_75))
           (portRef SP (instanceRef regq16_pipe_77))
           (portRef SP (instanceRef regq16_pipe_79))
           (portRef SP (instanceRef regq16_pipe_8))
           (portRef SP (instanceRef regq16_pipe_81))
           (portRef SP (instanceRef regq16_pipe_83))
           (portRef SP (instanceRef regq16_pipe_85))
           (portRef SP (instanceRef regq16_pipe_86))
           (portRef SP (instanceRef regq16_pipe_88))
           (portRef SP (instanceRef regq16_pipe_9))
           (portRef SP (instanceRef regq16_pipe_90))
           (portRef SP (instanceRef regq16_pipe_92))
           (portRef SP (instanceRef regq16_pipe_94))
           (portRef SP (instanceRef regq16_pipe_95))
           (portRef SP (instanceRef regq16_pipe_97))
           (portRef SP (instanceRef regq16_pipe_99))
           (portRef SP (instanceRef regq8_pipe))
           (portRef SP (instanceRef regq8_pipe_1))
           (portRef SP (instanceRef regq8_pipe_100))
           (portRef SP (instanceRef regq8_pipe_107))
           (portRef SP (instanceRef regq8_pipe_11))
           (portRef SP (instanceRef regq8_pipe_110))
           (portRef SP (instanceRef regq8_pipe_112))
           (portRef SP (instanceRef regq8_pipe_116))
           (portRef SP (instanceRef regq8_pipe_118))
           (portRef SP (instanceRef regq8_pipe_119))
           (portRef SP (instanceRef regq8_pipe_12))
           (portRef SP (instanceRef regq8_pipe_122))
           (portRef SP (instanceRef regq8_pipe_127))
           (portRef SP (instanceRef regq8_pipe_129))
           (portRef SP (instanceRef regq8_pipe_13))
           (portRef SP (instanceRef regq8_pipe_15))
           (portRef SP (instanceRef regq8_pipe_17))
           (portRef SP (instanceRef regq8_pipe_18))
           (portRef SP (instanceRef regq8_pipe_2))
           (portRef SP (instanceRef regq8_pipe_20))
           (portRef SP (instanceRef regq8_pipe_22))
           (portRef SP (instanceRef regq8_pipe_24))
           (portRef SP (instanceRef regq8_pipe_26))
           (portRef SP (instanceRef regq8_pipe_28))
           (portRef SP (instanceRef regq8_pipe_29))
           (portRef SP (instanceRef regq8_pipe_31))
           (portRef SP (instanceRef regq8_pipe_33))
           (portRef SP (instanceRef regq8_pipe_35))
           (portRef SP (instanceRef regq8_pipe_37))
           (portRef SP (instanceRef regq8_pipe_39))
           (portRef SP (instanceRef regq8_pipe_4))
           (portRef SP (instanceRef regq8_pipe_40))
           (portRef SP (instanceRef regq8_pipe_42))
           (portRef SP (instanceRef regq8_pipe_44))
           (portRef SP (instanceRef regq8_pipe_46))
           (portRef SP (instanceRef regq8_pipe_48))
           (portRef SP (instanceRef regq8_pipe_50))
           (portRef SP (instanceRef regq8_pipe_51))
           (portRef SP (instanceRef regq8_pipe_53))
           (portRef SP (instanceRef regq8_pipe_55))
           (portRef SP (instanceRef regq8_pipe_57))
           (portRef SP (instanceRef regq8_pipe_59))
           (portRef SP (instanceRef regq8_pipe_6))
           (portRef SP (instanceRef regq8_pipe_61))
           (portRef SP (instanceRef regq8_pipe_62))
           (portRef SP (instanceRef regq8_pipe_64))
           (portRef SP (instanceRef regq8_pipe_65))
           (portRef SP (instanceRef regq8_pipe_68))
           (portRef SP (instanceRef regq8_pipe_7))
           (portRef SP (instanceRef regq8_pipe_70))
           (portRef SP (instanceRef regq8_pipe_73))
           (portRef SP (instanceRef regq8_pipe_75))
           (portRef SP (instanceRef regq8_pipe_77))
           (portRef SP (instanceRef regq8_pipe_8))
           (portRef SP (instanceRef regq8_pipe_81))
           (portRef SP (instanceRef regq8_pipe_83))
           (portRef SP (instanceRef regq8_pipe_84))
           (portRef SP (instanceRef regq8_pipe_86))
           (portRef SP (instanceRef regq8_pipe_88))
           (portRef SP (instanceRef regq8_pipe_9))
           (portRef SP (instanceRef regq8_pipe_90))
          ))
          (net regq8_pipe_9 (joined
           (portRef Q (instanceRef regq8_pipe_9))
           (portRef A (instanceRef z8_2_0))
           (portRef A (instanceRef q_out_1_bm_6))
          ))
          (net N_313 (joined
           (portRef Z (instanceRef q8_3_0))
           (portRef D (instanceRef regq8_pipe_88))
          ))
          (net regq8_pipe_88 (joined
           (portRef Q (instanceRef regq8_pipe_88))
           (portRef A (instanceRef q8_28_am_1_0))
          ))
          (net N_386 (joined
           (portRef Z (instanceRef q8_12_1))
           (portRef D (instanceRef regq8_pipe_86))
          ))
          (net regq8_pipe_86 (joined
           (portRef Q (instanceRef regq8_pipe_86))
           (portRef B (instanceRef q8_14_bm_1))
          ))
          (net (rename datamux_o_alu_in_left_path_data_i_0 "datamux_o_alu_in_left_path_data_i[0]") (joined
           (portRef Z (instanceRef regq8_pipe_84_RNO))
           (portRef D (instanceRef regq8_pipe_84))
          ))
          (net (rename datamux_o_alu_in_left_path_data_if_0 "datamux_o_alu_in_left_path_data_if[0]") (joined
           (portRef Q (instanceRef regq8_pipe_84))
           (portRef B (instanceRef q8_23_am_0))
          ))
          (net (rename add8_wf_0 "add8_wf[0]") (joined
           (portRef Q (instanceRef regq8_pipe_83))
           (portRef A (instanceRef q8_23_am_0))
          ))
          (net N_2097_i (joined
           (portRef Z (instanceRef regq8_pipe_81_RNO))
           (portRef D (instanceRef regq8_pipe_81))
          ))
          (net regq8_pipe_81 (joined
           (portRef Q (instanceRef regq8_pipe_81))
           (portRef A (instanceRef q8_28_am_0))
          ))
          (net N_2099 (joined
           (portRef Z (instanceRef q8_16_i_o3_3))
           (portRef B (instanceRef q8_16_0_a3_0))
           (portRef B (instanceRef regq8_pipe_81_RNO))
           (portRef D (instanceRef regq8_pipe_8))
          ))
          (net regq8_pipe_8 (joined
           (portRef Q (instanceRef regq8_pipe_8))
           (portRef A (instanceRef q8_26_RNO_7))
          ))
          (net N_417 (joined
           (portRef Z (instanceRef q8_16_0_a3_0))
           (portRef D (instanceRef regq8_pipe_77))
          ))
          (net regq8_pipe_77 (joined
           (portRef Q (instanceRef regq8_pipe_77))
           (portRef B (instanceRef q8_28_bm_0))
          ))
          (net N_4_i (joined
           (portRef Z (instanceRef regq8_pipe_75_RNO))
           (portRef D (instanceRef regq8_pipe_75))
          ))
          (net regq8_pipe_75 (joined
           (portRef Q (instanceRef regq8_pipe_75))
           (portRef A (instanceRef q8_3_1))
          ))
          (net (rename datamux_o_alu_in_left_path_data_if_1 "datamux_o_alu_in_left_path_data_if[1]") (joined
           (portRef Q (instanceRef regq8_pipe_73))
           (portRef B (instanceRef q8_23_am_1))
          ))
          (net N_425 (joined
           (portRef Z (instanceRef q8_17_0))
           (portRef D (instanceRef regq8_pipe_70))
          ))
          (net regq8_pipe_70 (joined
           (portRef Q (instanceRef regq8_pipe_70))
           (portRef A (instanceRef q8_19_0))
          ))
          (net (rename dec_o_alu_opcode_mux_2 "dec_o_alu_opcode_mux[2]") (joined
           (portRef Z (instanceRef regq8_pipe_7_RNO))
           (portRef D (instanceRef regq8_pipe_7))
          ))
          (net (rename dec_o_alu_opcode_muxf_2 "dec_o_alu_opcode_muxf[2]") (joined
           (portRef Q (instanceRef regq8_pipe_7))
           (portRef C (instanceRef q8_26_RNO_7))
          ))
          (net (rename datamux_o_alu_in_left_path_data_0 "datamux_o_alu_in_left_path_data[0]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_data_0_0))
           (portRef C1 (instanceRef mul16_w_madd_0_cry_0_0))
           (portRef C1 (instanceRef mul16_w_madd_0_cry_1_0))
           (portRef C0 (instanceRef mul16_w_madd_0_cry_1_0))
           (portRef C1 (instanceRef mul16_w_madd_0_cry_3_0))
           (portRef C0 (instanceRef mul16_w_madd_0_cry_3_0))
           (portRef C1 (instanceRef mul16_w_madd_0_cry_5_0))
           (portRef C0 (instanceRef mul16_w_madd_0_cry_5_0))
           (portRef B (instanceRef vasr16_r))
           (portRef B (instanceRef q8_2_0))
           (portRef A (instanceRef q16_7_0))
           (portRef B (instanceRef q16_18_0))
           (portRef B (instanceRef q8_3_0))
           (portRef B (instanceRef regq16_pipe_185_RNO))
           (portRef B (instanceRef q16_12_am_0))
           (portRef D (instanceRef regq8_pipe_68))
           (portRef D (instanceRef vff_pipe_0))
           (portRef datamux_o_alu_in_left_path_data_0)
          ))
          (net (rename datamux_o_alu_in_left_path_dataf_0 "datamux_o_alu_in_left_path_dataf[0]") (joined
           (portRef Q (instanceRef regq8_pipe_68))
           (portRef B (instanceRef q8_17_1))
           (portRef B (instanceRef q8_5_0))
           (portRef B (instanceRef q16_11_am_1))
           (portRef B (instanceRef q8_6_am_1))
           (portRef A (instanceRef q8_23_bm_0))
          ))
          (net N_434 (joined
           (portRef Z (instanceRef q8_18_0_a3_1))
           (portRef D (instanceRef regq8_pipe_65))
          ))
          (net regq8_pipe_65 (joined
           (portRef Q (instanceRef regq8_pipe_65))
           (portRef B (instanceRef q8_19_1))
          ))
          (net N_175 (joined
           (portRef Z (instanceRef q8_16_i_1))
           (portRef D (instanceRef regq8_pipe_64))
          ))
          (net regq8_pipe_64 (joined
           (portRef Q (instanceRef regq8_pipe_64))
           (portRef A (instanceRef q8_27_1))
          ))
          (net (rename datamux_o_alu_in_left_path_data_if_2 "datamux_o_alu_in_left_path_data_if[2]") (joined
           (portRef Q (instanceRef regq8_pipe_62))
           (portRef B (instanceRef q8_21_2))
          ))
          (net (rename add16_w_2 "add16_w[2]") (joined
           (portRef S1 (instanceRef add16_w_cry_1_0))
           (portRef A (instanceRef q8_17_2))
           (portRef A (instanceRef q16_3_2))
           (portRef D (instanceRef regq8_pipe_61))
          ))
          (net (rename add16_wf_2 "add16_wf[2]") (joined
           (portRef Q (instanceRef regq8_pipe_61))
           (portRef A (instanceRef q8_21_2))
           (portRef A (instanceRef q16_13_2))
          ))
          (net (rename add16_w_7 "add16_w[7]") (joined
           (portRef S0 (instanceRef add16_w_cry_7_0))
           (portRef A (instanceRef q16_13_7))
           (portRef A (instanceRef q8_17_7))
           (portRef A (instanceRef q16_3_7))
           (portRef B (instanceRef vadc8_r))
           (portRef A (instanceRef vadd8_r))
           (portRef D (instanceRef regq8_pipe_6))
          ))
          (net (rename add16_wf_7 "add16_wf[7]") (joined
           (portRef Q (instanceRef regq8_pipe_6))
           (portRef B (instanceRef q8_26_RNO_7))
          ))
          (net N_467 (joined
           (portRef Z (instanceRef q8_22_2))
           (portRef D (instanceRef regq8_pipe_59))
          ))
          (net regq8_pipe_59 (joined
           (portRef Q (instanceRef regq8_pipe_59))
           (portRef B (instanceRef q8_23_2))
          ))
          (net N_443 (joined
           (portRef Z (instanceRef q8_19_2))
           (portRef D (instanceRef regq8_pipe_57))
          ))
          (net regq8_pipe_57 (joined
           (portRef Q (instanceRef regq8_pipe_57))
           (portRef A (instanceRef q8_26_2))
          ))
          (net N_419 (joined
           (portRef Z (instanceRef q8_16_2))
           (portRef D (instanceRef regq8_pipe_55))
          ))
          (net regq8_pipe_55 (joined
           (portRef Q (instanceRef regq8_pipe_55))
           (portRef B (instanceRef q8_27_2))
          ))
          (net N_403 (joined
           (portRef Z (instanceRef q8_14_2))
           (portRef D (instanceRef regq8_pipe_53))
          ))
          (net regq8_pipe_53 (joined
           (portRef Q (instanceRef regq8_pipe_53))
           (portRef A (instanceRef z8_2_2))
           (portRef A (instanceRef q_out_1_bm_2))
          ))
          (net (rename datamux_o_alu_in_left_path_data_if_3 "datamux_o_alu_in_left_path_data_if[3]") (joined
           (portRef Q (instanceRef regq8_pipe_51))
           (portRef B (instanceRef q8_21_3))
          ))
          (net (rename add16_w_3 "add16_w[3]") (joined
           (portRef S0 (instanceRef add16_w_cry_3_0))
           (portRef A (instanceRef q8_17_3))
           (portRef A (instanceRef q16_3_3))
           (portRef D (instanceRef regq8_pipe_50))
          ))
          (net (rename add16_wf_3 "add16_wf[3]") (joined
           (portRef Q (instanceRef regq8_pipe_50))
           (portRef A (instanceRef q8_21_3))
           (portRef A (instanceRef q16_13_3))
          ))
          (net N_468 (joined
           (portRef Z (instanceRef q8_22_3))
           (portRef D (instanceRef regq8_pipe_48))
          ))
          (net regq8_pipe_48 (joined
           (portRef Q (instanceRef regq8_pipe_48))
           (portRef B (instanceRef q8_23_3))
          ))
          (net N_444 (joined
           (portRef Z (instanceRef q8_19_3))
           (portRef D (instanceRef regq8_pipe_46))
          ))
          (net regq8_pipe_46 (joined
           (portRef Q (instanceRef regq8_pipe_46))
           (portRef A (instanceRef q8_26_3))
          ))
          (net N_173_i (joined
           (portRef Z (instanceRef regq8_pipe_44_RNO))
           (portRef D (instanceRef regq8_pipe_44))
          ))
          (net regq8_pipe_44 (joined
           (portRef Q (instanceRef regq8_pipe_44))
           (portRef A (instanceRef q8_27_3))
          ))
          (net N_404 (joined
           (portRef Z (instanceRef q8_14_3))
           (portRef D (instanceRef regq8_pipe_42))
          ))
          (net regq8_pipe_42 (joined
           (portRef Q (instanceRef regq8_pipe_42))
           (portRef A (instanceRef q8_28_3))
           (portRef A (instanceRef q_out_1_bm_3))
          ))
          (net (rename datamux_o_alu_in_left_path_data_if_4 "datamux_o_alu_in_left_path_data_if[4]") (joined
           (portRef Q (instanceRef regq8_pipe_40))
           (portRef B (instanceRef q8_21_4))
          ))
          (net N_448 (joined
           (portRef Z (instanceRef q8_19_7))
           (portRef D (instanceRef regq8_pipe_4))
          ))
          (net regq8_pipe_4 (joined
           (portRef Q (instanceRef regq8_pipe_4))
           (portRef B (instanceRef q8_26_7))
          ))
          (net (rename add16_w_4 "add16_w[4]") (joined
           (portRef S1 (instanceRef add16_w_cry_3_0))
           (portRef A (instanceRef q8_17_4))
           (portRef A (instanceRef q16_3_4))
           (portRef D (instanceRef regq8_pipe_39))
          ))
          (net (rename add16_wf_4 "add16_wf[4]") (joined
           (portRef Q (instanceRef regq8_pipe_39))
           (portRef A (instanceRef q8_21_4))
           (portRef A (instanceRef q16_13_4))
          ))
          (net N_469 (joined
           (portRef Z (instanceRef q8_22_4))
           (portRef D (instanceRef regq8_pipe_37))
          ))
          (net regq8_pipe_37 (joined
           (portRef Q (instanceRef regq8_pipe_37))
           (portRef B (instanceRef q8_23_4))
          ))
          (net N_445 (joined
           (portRef Z (instanceRef q8_19_4))
           (portRef D (instanceRef regq8_pipe_35))
          ))
          (net regq8_pipe_35 (joined
           (portRef Q (instanceRef regq8_pipe_35))
           (portRef A (instanceRef q8_26_4))
          ))
          (net N_421 (joined
           (portRef Z (instanceRef q8_16_0_a2_4))
           (portRef D (instanceRef regq8_pipe_33))
          ))
          (net regq8_pipe_33 (joined
           (portRef Q (instanceRef regq8_pipe_33))
           (portRef B (instanceRef q8_27_4))
          ))
          (net N_405 (joined
           (portRef Z (instanceRef q8_14_4))
           (portRef D (instanceRef regq8_pipe_31))
          ))
          (net regq8_pipe_31 (joined
           (portRef Q (instanceRef regq8_pipe_31))
           (portRef A (instanceRef q8_28_4))
           (portRef A (instanceRef q_out_1_bm_4))
          ))
          (net (rename datamux_o_alu_in_left_path_data_if_5 "datamux_o_alu_in_left_path_data_if[5]") (joined
           (portRef Q (instanceRef regq8_pipe_29))
           (portRef B (instanceRef q8_21_5))
          ))
          (net (rename add16_wf_5 "add16_wf[5]") (joined
           (portRef Q (instanceRef regq8_pipe_28))
           (portRef A (instanceRef q8_21_5))
           (portRef A (instanceRef q16_13_5))
          ))
          (net N_470 (joined
           (portRef Z (instanceRef q8_22_5))
           (portRef D (instanceRef regq8_pipe_26))
          ))
          (net regq8_pipe_26 (joined
           (portRef Q (instanceRef regq8_pipe_26))
           (portRef B (instanceRef q8_23_5))
          ))
          (net N_446 (joined
           (portRef Z (instanceRef q8_19_5))
           (portRef D (instanceRef regq8_pipe_24))
          ))
          (net regq8_pipe_24 (joined
           (portRef Q (instanceRef regq8_pipe_24))
           (portRef A (instanceRef q8_26_5))
          ))
          (net N_422 (joined
           (portRef Z (instanceRef q8_16_5))
           (portRef D (instanceRef regq8_pipe_22))
          ))
          (net regq8_pipe_22 (joined
           (portRef Q (instanceRef regq8_pipe_22))
           (portRef B (instanceRef q8_27_5))
          ))
          (net N_406 (joined
           (portRef Z (instanceRef q8_14_5))
           (portRef D (instanceRef regq8_pipe_20))
          ))
          (net regq8_pipe_20 (joined
           (portRef Q (instanceRef regq8_pipe_20))
           (portRef A (instanceRef z8_2_1))
           (portRef A (instanceRef q_out_1_bm_5))
          ))
          (net N_424 (joined
           (portRef Z (instanceRef q8_16_7))
           (portRef D (instanceRef regq8_pipe_2))
          ))
          (net regq8_pipe_2 (joined
           (portRef Q (instanceRef regq8_pipe_2))
           (portRef B (instanceRef q8_27_7))
          ))
          (net (rename datamux_o_alu_in_left_path_data_if_6 "datamux_o_alu_in_left_path_data_if[6]") (joined
           (portRef Q (instanceRef regq8_pipe_18))
           (portRef B (instanceRef q8_21_6))
          ))
          (net (rename add16_w_6 "add16_w[6]") (joined
           (portRef S1 (instanceRef add16_w_cry_5_0))
           (portRef A (instanceRef q8_17_6))
           (portRef A (instanceRef q16_3_6))
           (portRef D (instanceRef regq8_pipe_17))
          ))
          (net (rename add16_wf_6 "add16_wf[6]") (joined
           (portRef Q (instanceRef regq8_pipe_17))
           (portRef A (instanceRef q8_21_6))
           (portRef A (instanceRef q16_13_6))
          ))
          (net N_471 (joined
           (portRef Z (instanceRef q8_22_6))
           (portRef D (instanceRef regq8_pipe_15))
          ))
          (net regq8_pipe_15 (joined
           (portRef Q (instanceRef regq8_pipe_15))
           (portRef B (instanceRef q8_23_6))
          ))
          (net N_447 (joined
           (portRef Z (instanceRef q8_19_6))
           (portRef D (instanceRef regq8_pipe_13))
          ))
          (net regq8_pipe_13 (joined
           (portRef Q (instanceRef regq8_pipe_13))
           (portRef A (instanceRef q8_26_6))
          ))
          (net (rename daa8l_r_1_1 "daa8l_r_1[1]") (joined
           (portRef Z (instanceRef daa8l_r_1_1))
           (portRef D (instanceRef regq8_pipe_129))
          ))
          (net (rename daa8l_r_1f_1 "daa8l_r_1f[1]") (joined
           (portRef Q (instanceRef regq8_pipe_129))
           (portRef A (instanceRef q8_6_bm_1))
          ))
          (net N_433 (joined
           (portRef Z (instanceRef q8_18_0))
           (portRef D (instanceRef regq8_pipe_127))
          ))
          (net regq8_pipe_127 (joined
           (portRef Q (instanceRef regq8_pipe_127))
           (portRef B (instanceRef q8_19_0))
          ))
          (net (rename and8_w_1 "and8_w[1]") (joined
           (portRef Z (instanceRef and8_w_1))
           (portRef D (instanceRef regq8_pipe_122))
          ))
          (net (rename and8_wf_1 "and8_wf[1]") (joined
           (portRef Q (instanceRef regq8_pipe_122))
           (portRef A (instanceRef q8_2_1))
          ))
          (net N_2123 (joined
           (portRef Z (instanceRef regq8_pipe_12_RNO))
           (portRef D (instanceRef regq8_pipe_12))
          ))
          (net regq8_pipe_12 (joined
           (portRef Q (instanceRef regq8_pipe_12))
           (portRef A (instanceRef q8_27_7))
           (portRef B (instanceRef q8_27_1))
           (portRef B (instanceRef q8_27_6))
           (portRef A (instanceRef q8_27_5))
           (portRef A (instanceRef q8_27_4))
           (portRef B (instanceRef q8_27_3))
           (portRef A (instanceRef q8_27_2))
           (portRef A (instanceRef q8_28_bm_0))
          ))
          (net (rename datamux_o_alu_in_left_path_dataf_2 "datamux_o_alu_in_left_path_dataf[2]") (joined
           (portRef Q (instanceRef regq8_pipe_119))
           (portRef B (instanceRef q16_24_1))
           (portRef B (instanceRef q8_2_1))
           (portRef A (instanceRef q8_9_1))
           (portRef B (instanceRef q8_23_bm_1))
          ))
          (net (rename datamux_o_alu_in_right_path_dataf_1 "datamux_o_alu_in_right_path_dataf[1]") (joined
           (portRef Q (instanceRef regq8_pipe_118))
           (portRef B (instanceRef q8_9_1))
           (portRef B (instanceRef q8_6_bm_1))
          ))
          (net N_354 (joined
           (portRef Z (instanceRef q8_8_0_a3_1))
           (portRef D (instanceRef regq8_pipe_116))
          ))
          (net regq8_pipe_116 (joined
           (portRef Q (instanceRef regq8_pipe_116))
           (portRef A (instanceRef q8_10_1))
          ))
          (net N_47_i (joined
           (portRef N_47_i)
           (portRef D (instanceRef regq8_pipe_112))
          ))
          (net regq8_pipe_112 (joined
           (portRef Q (instanceRef regq8_pipe_112))
           (portRef A (instanceRef q8_9_0))
           (portRef A (instanceRef q8_5_0))
          ))
          (net N_353 (joined
           (portRef Z (instanceRef q8_8_0_a3_0))
           (portRef D (instanceRef regq8_pipe_110))
          ))
          (net regq8_pipe_110 (joined
           (portRef Q (instanceRef regq8_pipe_110))
           (portRef A (instanceRef q8_10_0))
          ))
          (net N_2098_i (joined
           (portRef Z (instanceRef regq8_pipe_11_RNO))
           (portRef D (instanceRef regq8_pipe_11))
          ))
          (net regq8_pipe_11 (joined
           (portRef Q (instanceRef regq8_pipe_11))
           (portRef A (instanceRef q8_27_6))
          ))
          (net (rename datamux_o_alu_in_left_path_data_1 "datamux_o_alu_in_left_path_data[1]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_data_1))
           (portRef B1 (instanceRef mul16_w_madd_0_cry_0_0))
           (portRef A1 (instanceRef mul16_w_madd_0_cry_1_0))
           (portRef A0 (instanceRef mul16_w_madd_0_cry_1_0))
           (portRef A1 (instanceRef mul16_w_madd_0_cry_3_0))
           (portRef A0 (instanceRef mul16_w_madd_0_cry_3_0))
           (portRef A1 (instanceRef mul16_w_madd_0_cry_5_0))
           (portRef A0 (instanceRef mul16_w_madd_0_cry_5_0))
           (portRef A0 (instanceRef mul16_w_madd_0_cry_7_0))
           (portRef A0 (instanceRef sbc8_w_cry_1_0))
           (portRef A0 (instanceRef sbc16_w_cry_1_0))
           (portRef B0 (instanceRef sub16_w_cry_1_0))
           (portRef A0 (instanceRef adc16_w_cry_1_0))
           (portRef A0 (instanceRef add8_w_cry_1_0))
           (portRef C0 (instanceRef sub8_w_cry_1_0))
           (portRef A0 (instanceRef add16_w_cry_1_0))
           (portRef A0 (instanceRef neg16_w_cry_1_0))
           (portRef B (instanceRef q8_17_2))
           (portRef B (instanceRef q8_12_1))
           (portRef A (instanceRef q16_21_1))
           (portRef A (instanceRef daa_lnm9_ac0_5))
           (portRef A (instanceRef q16_18_1))
           (portRef C (instanceRef q8_2_0))
           (portRef B (instanceRef q16_25_bm_0))
           (portRef A (instanceRef daa8l_r_1_1))
           (portRef A (instanceRef daa8l_r_1_3))
           (portRef A (instanceRef daa8l_r_1_2))
           (portRef B (instanceRef q16_11_am_2))
           (portRef A (instanceRef q8_6_am_2))
           (portRef D (instanceRef regq8_pipe_107))
          ))
          (net (rename datamux_o_alu_in_left_path_dataf_1 "datamux_o_alu_in_left_path_dataf[1]") (joined
           (portRef Q (instanceRef regq8_pipe_107))
           (portRef B (instanceRef q8_9_0))
           (portRef A (instanceRef q8_23_bm_1))
           (portRef B (instanceRef q8_23_bm_0))
          ))
          (net (rename eor8_w_1 "eor8_w[1]") (joined
           (portRef Z (instanceRef eor8_w_1))
           (portRef D (instanceRef regq8_pipe_100))
          ))
          (net (rename eor8_wf_1 "eor8_wf[1]") (joined
           (portRef Q (instanceRef regq8_pipe_100))
           (portRef A (instanceRef q8_6_am_1))
          ))
          (net (rename dec_o_alu_opcodef_9_0 "dec_o_alu_opcodef_9[0]") (joined
           (portRef Q (instanceRef regq8_pipe_1))
           (portRef C (instanceRef q16_13_1))
           (portRef C (instanceRef q16_13_15))
           (portRef C (instanceRef q16_13_14))
           (portRef C (instanceRef q16_13_13))
           (portRef C (instanceRef q16_13_12))
           (portRef C (instanceRef q16_13_11))
           (portRef C (instanceRef q16_13_10))
           (portRef C (instanceRef q16_13_9))
           (portRef C (instanceRef q16_13_8))
           (portRef C (instanceRef q16_13_0))
           (portRef C (instanceRef q16_13_6))
           (portRef C (instanceRef q16_13_5))
           (portRef C (instanceRef q16_13_4))
           (portRef C (instanceRef q16_13_3))
           (portRef C (instanceRef q16_13_2))
           (portRef C (instanceRef q8_28_7))
           (portRef C0 (instanceRef q8_28_0))
           (portRef C (instanceRef q8_28_3))
           (portRef C (instanceRef q8_28_4))
           (portRef D (instanceRef z8_2_3))
           (portRef D (instanceRef z8_2_2))
           (portRef D (instanceRef z8_2_1))
           (portRef D (instanceRef z8_2_0))
           (portRef C (instanceRef q_out_1_bm_1))
           (portRef C (instanceRef q_out_1_bm_6))
           (portRef C (instanceRef q_out_1_bm_5))
           (portRef C (instanceRef q_out_1_bm_4))
           (portRef C (instanceRef q_out_1_bm_3))
           (portRef C (instanceRef q_out_1_bm_2))
          ))
          (net N_408 (joined
           (portRef Z (instanceRef q8_14_7))
           (portRef D (instanceRef regq8_pipe))
          ))
          (net regq8_pipe (joined
           (portRef Q (instanceRef regq8_pipe))
           (portRef A (instanceRef q8_28_7))
          ))
          (net N_911 (joined
           (portRef Z (instanceRef q16_25_6))
           (portRef D (instanceRef regq16_pipe_99))
          ))
          (net regq16_pipe_99 (joined
           (portRef Q (instanceRef regq16_pipe_99))
           (portRef B (instanceRef q16_26_6))
          ))
          (net N_703 (joined
           (portRef Z (instanceRef q16_12_6))
           (portRef D (instanceRef regq16_pipe_97))
          ))
          (net regq16_pipe_97 (joined
           (portRef Q (instanceRef regq16_pipe_97))
           (portRef A (instanceRef q16_27_6))
           (portRef A (instanceRef q_out_1_am_6))
          ))
          (net regq16_pipe_95 (joined
           (portRef Q (instanceRef regq16_pipe_95))
           (portRef B (instanceRef q16_15_7))
          ))
          (net N_720 (joined
           (portRef Z (instanceRef q16_13_7))
           (portRef D (instanceRef regq16_pipe_94))
          ))
          (net regq16_pipe_94 (joined
           (portRef Q (instanceRef regq16_pipe_94))
           (portRef A (instanceRef q16_15_7))
          ))
          (net N_800 (joined
           (portRef Z (instanceRef q16_18_7))
           (portRef D (instanceRef regq16_pipe_92))
          ))
          (net regq16_pipe_92 (joined
           (portRef Q (instanceRef regq16_pipe_92))
           (portRef B (instanceRef q16_19_7))
          ))
          (net N_912 (joined
           (portRef Z (instanceRef q16_25_7))
           (portRef D (instanceRef regq16_pipe_90))
          ))
          (net regq16_pipe_90 (joined
           (portRef Q (instanceRef regq16_pipe_90))
           (portRef B (instanceRef q16_26_7))
          ))
          (net (rename add16_w_15 "add16_w[15]") (joined
           (portRef S0 (instanceRef add16_w_cry_15_0))
           (portRef A (instanceRef regq16_pipe_RNO_4))
           (portRef A (instanceRef vadd16_r))
           (portRef B (instanceRef vadc16_r))
           (portRef A (instanceRef vsub16_r))
           (portRef D (instanceRef regq16_pipe_9))
          ))
          (net (rename add16_wf_15 "add16_wf[15]") (joined
           (portRef Q (instanceRef regq16_pipe_9))
           (portRef A (instanceRef q16_13_15))
          ))
          (net N_704 (joined
           (portRef Z (instanceRef q16_7))
           (portRef D (instanceRef regq16_pipe_88))
          ))
          (net regq16_pipe_88 (joined
           (portRef Q (instanceRef regq16_pipe_88))
           (portRef A (instanceRef q16_27_7))
          ))
          (net (rename add16_w_8 "add16_w[8]") (joined
           (portRef S1 (instanceRef add16_w_cry_7_0))
           (portRef A (instanceRef q16_3_8))
           (portRef D (instanceRef regq16_pipe_86))
          ))
          (net (rename add16_wf_8 "add16_wf[8]") (joined
           (portRef Q (instanceRef regq16_pipe_86))
           (portRef A (instanceRef q16_13_8))
          ))
          (net (rename sbc16_w_8 "sbc16_w[8]") (joined
           (portRef S1 (instanceRef sbc16_w_cry_7_0))
           (portRef D (instanceRef regq16_pipe_85))
          ))
          (net (rename sbc16_wf_8 "sbc16_wf[8]") (joined
           (portRef Q (instanceRef regq16_pipe_85))
           (portRef B (instanceRef q16_13_8))
          ))
          (net regq16_pipe_83 (joined
           (portRef Q (instanceRef regq16_pipe_83))
           (portRef A (instanceRef q16_15_8))
          ))
          (net N_801 (joined
           (portRef Z (instanceRef q16_18_8))
           (portRef D (instanceRef regq16_pipe_81))
          ))
          (net regq16_pipe_81 (joined
           (portRef Q (instanceRef regq16_pipe_81))
           (portRef B (instanceRef q16_19_8))
          ))
          (net (rename sbc16_w_15 "sbc16_w[15]") (joined
           (portRef S0 (instanceRef sbc16_w_cry_15_0))
           (portRef A (instanceRef vsbc16_r))
           (portRef D (instanceRef regq16_pipe_8))
          ))
          (net (rename sbc16_wf_15 "sbc16_wf[15]") (joined
           (portRef Q (instanceRef regq16_pipe_8))
           (portRef B (instanceRef q16_13_15))
          ))
          (net N_913 (joined
           (portRef Z (instanceRef q16_25_8))
           (portRef D (instanceRef regq16_pipe_79))
          ))
          (net regq16_pipe_79 (joined
           (portRef Q (instanceRef regq16_pipe_79))
           (portRef B (instanceRef q16_26_8))
          ))
          (net N_705 (joined
           (portRef Z (instanceRef q16_8))
           (portRef D (instanceRef regq16_pipe_77))
          ))
          (net regq16_pipe_77 (joined
           (portRef Q (instanceRef regq16_pipe_77))
           (portRef A (instanceRef q16_27_8))
          ))
          (net (rename add16_w_9 "add16_w[9]") (joined
           (portRef S0 (instanceRef add16_w_cry_9_0))
           (portRef A (instanceRef q16_3_9))
           (portRef D (instanceRef regq16_pipe_75))
          ))
          (net (rename add16_wf_9 "add16_wf[9]") (joined
           (portRef Q (instanceRef regq16_pipe_75))
           (portRef A (instanceRef q16_13_9))
          ))
          (net (rename sbc16_w_9 "sbc16_w[9]") (joined
           (portRef S0 (instanceRef sbc16_w_cry_9_0))
           (portRef D (instanceRef regq16_pipe_74))
          ))
          (net (rename sbc16_wf_9 "sbc16_wf[9]") (joined
           (portRef Q (instanceRef regq16_pipe_74))
           (portRef B (instanceRef q16_13_9))
          ))
          (net regq16_pipe_72 (joined
           (portRef Q (instanceRef regq16_pipe_72))
           (portRef A (instanceRef q16_15_9))
          ))
          (net N_802 (joined
           (portRef Z (instanceRef q16_18_9))
           (portRef D (instanceRef regq16_pipe_70))
          ))
          (net regq16_pipe_70 (joined
           (portRef Q (instanceRef regq16_pipe_70))
           (portRef B (instanceRef q16_19_9))
          ))
          (net (rename dec_o_alu_opcodef_7_3 "dec_o_alu_opcodef_7[3]") (joined
           (portRef Q (instanceRef regq16_pipe_7))
           (portRef C (instanceRef q8_28_am_1_0))
           (portRef C (instanceRef q16_24_1))
           (portRef C (instanceRef q16_15_7))
           (portRef C (instanceRef q16_11_bm_1))
           (portRef C (instanceRef q16_11_am_1))
           (portRef C (instanceRef q16_15_1))
           (portRef C (instanceRef q16_15_15))
           (portRef C (instanceRef q16_15_14))
           (portRef C (instanceRef q16_15_13))
           (portRef C (instanceRef q16_15_12))
           (portRef C (instanceRef q16_15_11))
           (portRef C (instanceRef q16_15_10))
           (portRef C (instanceRef q16_15_9))
           (portRef C (instanceRef q16_15_8))
           (portRef C (instanceRef q16_15_0))
           (portRef C (instanceRef q8_26_7))
           (portRef C (instanceRef q16_15_6))
           (portRef C (instanceRef q16_15_5))
           (portRef C (instanceRef q16_15_4))
           (portRef C (instanceRef q16_15_3))
           (portRef C (instanceRef q16_15_2))
           (portRef C (instanceRef q8_26_1))
           (portRef C (instanceRef q8_26_0))
           (portRef C (instanceRef q8_26_6))
           (portRef C (instanceRef q8_26_5))
           (portRef C (instanceRef q8_26_4))
           (portRef C (instanceRef q8_26_3))
           (portRef C (instanceRef q8_26_2))
           (portRef C (instanceRef q8_14_bm_1))
           (portRef C (instanceRef q8_14_am_1))
          ))
          (net N_914 (joined
           (portRef Z (instanceRef q16_25_9))
           (portRef D (instanceRef regq16_pipe_68))
          ))
          (net regq16_pipe_68 (joined
           (portRef Q (instanceRef regq16_pipe_68))
           (portRef B (instanceRef q16_26_9))
          ))
          (net N_706 (joined
           (portRef Z (instanceRef q16_9))
           (portRef D (instanceRef regq16_pipe_66))
          ))
          (net regq16_pipe_66 (joined
           (portRef Q (instanceRef regq16_pipe_66))
           (portRef A (instanceRef q16_27_9))
          ))
          (net (rename add16_w_10 "add16_w[10]") (joined
           (portRef S1 (instanceRef add16_w_cry_9_0))
           (portRef A (instanceRef q16_3_10))
           (portRef D (instanceRef regq16_pipe_64))
          ))
          (net (rename add16_wf_10 "add16_wf[10]") (joined
           (portRef Q (instanceRef regq16_pipe_64))
           (portRef A (instanceRef q16_13_10))
          ))
          (net (rename sbc16_w_10 "sbc16_w[10]") (joined
           (portRef S1 (instanceRef sbc16_w_cry_9_0))
           (portRef D (instanceRef regq16_pipe_63))
          ))
          (net (rename sbc16_wf_10 "sbc16_wf[10]") (joined
           (portRef Q (instanceRef regq16_pipe_63))
           (portRef B (instanceRef q16_13_10))
          ))
          (net regq16_pipe_61 (joined
           (portRef Q (instanceRef regq16_pipe_61))
           (portRef A (instanceRef q16_15_10))
          ))
          (net regq16_pipe_6 (joined
           (portRef Q (instanceRef regq16_pipe_6))
           (portRef B (instanceRef q16_15_15))
          ))
          (net N_803 (joined
           (portRef Z (instanceRef q16_18_10))
           (portRef D (instanceRef regq16_pipe_59))
          ))
          (net regq16_pipe_59 (joined
           (portRef Q (instanceRef regq16_pipe_59))
           (portRef B (instanceRef q16_19_10))
          ))
          (net N_915 (joined
           (portRef Z (instanceRef q16_25_10))
           (portRef D (instanceRef regq16_pipe_57))
          ))
          (net regq16_pipe_57 (joined
           (portRef Q (instanceRef regq16_pipe_57))
           (portRef B (instanceRef q16_26_10))
          ))
          (net N_707 (joined
           (portRef Z (instanceRef q16_10))
           (portRef D (instanceRef regq16_pipe_55))
          ))
          (net regq16_pipe_55 (joined
           (portRef Q (instanceRef regq16_pipe_55))
           (portRef A (instanceRef q16_27_10))
          ))
          (net (rename add16_w_11 "add16_w[11]") (joined
           (portRef S0 (instanceRef add16_w_cry_11_0))
           (portRef A (instanceRef q16_3_11))
           (portRef D (instanceRef regq16_pipe_53))
          ))
          (net (rename add16_wf_11 "add16_wf[11]") (joined
           (portRef Q (instanceRef regq16_pipe_53))
           (portRef A (instanceRef q16_13_11))
          ))
          (net (rename sbc16_w_11 "sbc16_w[11]") (joined
           (portRef S0 (instanceRef sbc16_w_cry_11_0))
           (portRef D (instanceRef regq16_pipe_52))
          ))
          (net (rename sbc16_wf_11 "sbc16_wf[11]") (joined
           (portRef Q (instanceRef regq16_pipe_52))
           (portRef B (instanceRef q16_13_11))
          ))
          (net regq16_pipe_50 (joined
           (portRef Q (instanceRef regq16_pipe_50))
           (portRef A (instanceRef q16_15_11))
          ))
          (net N_804 (joined
           (portRef Z (instanceRef q16_18_11))
           (portRef D (instanceRef regq16_pipe_48))
          ))
          (net regq16_pipe_48 (joined
           (portRef Q (instanceRef regq16_pipe_48))
           (portRef B (instanceRef q16_19_11))
          ))
          (net N_916 (joined
           (portRef Z (instanceRef q16_25_11))
           (portRef D (instanceRef regq16_pipe_46))
          ))
          (net regq16_pipe_46 (joined
           (portRef Q (instanceRef regq16_pipe_46))
           (portRef B (instanceRef q16_26_11))
          ))
          (net N_708 (joined
           (portRef Z (instanceRef q16_11))
           (portRef D (instanceRef regq16_pipe_44))
          ))
          (net regq16_pipe_44 (joined
           (portRef Q (instanceRef regq16_pipe_44))
           (portRef A (instanceRef q16_27_11))
          ))
          (net (rename add16_w_12 "add16_w[12]") (joined
           (portRef S1 (instanceRef add16_w_cry_11_0))
           (portRef A (instanceRef q16_3_12))
           (portRef D (instanceRef regq16_pipe_42))
          ))
          (net (rename add16_wf_12 "add16_wf[12]") (joined
           (portRef Q (instanceRef regq16_pipe_42))
           (portRef A (instanceRef q16_13_12))
          ))
          (net (rename sbc16_w_12 "sbc16_w[12]") (joined
           (portRef S1 (instanceRef sbc16_w_cry_11_0))
           (portRef D (instanceRef regq16_pipe_41))
          ))
          (net (rename sbc16_wf_12 "sbc16_wf[12]") (joined
           (portRef Q (instanceRef regq16_pipe_41))
           (portRef B (instanceRef q16_13_12))
          ))
          (net N_808 (joined
           (portRef Z (instanceRef q16_18_15))
           (portRef D (instanceRef regq16_pipe_4))
          ))
          (net regq16_pipe_4 (joined
           (portRef Q (instanceRef regq16_pipe_4))
           (portRef B (instanceRef q16_19_15))
          ))
          (net regq16_pipe_39 (joined
           (portRef Q (instanceRef regq16_pipe_39))
           (portRef A (instanceRef q16_15_12))
          ))
          (net N_805 (joined
           (portRef Z (instanceRef q16_18_12))
           (portRef D (instanceRef regq16_pipe_37))
          ))
          (net regq16_pipe_37 (joined
           (portRef Q (instanceRef regq16_pipe_37))
           (portRef B (instanceRef q16_19_12))
          ))
          (net N_917 (joined
           (portRef Z (instanceRef q16_25_12))
           (portRef D (instanceRef regq16_pipe_35))
          ))
          (net regq16_pipe_35 (joined
           (portRef Q (instanceRef regq16_pipe_35))
           (portRef B (instanceRef q16_26_12))
          ))
          (net N_709 (joined
           (portRef Z (instanceRef q16_12))
           (portRef D (instanceRef regq16_pipe_33))
          ))
          (net regq16_pipe_33 (joined
           (portRef Q (instanceRef regq16_pipe_33))
           (portRef A (instanceRef q16_27_12))
          ))
          (net (rename add16_w_13 "add16_w[13]") (joined
           (portRef S0 (instanceRef add16_w_cry_13_0))
           (portRef A (instanceRef q16_3_13))
           (portRef D (instanceRef regq16_pipe_31))
          ))
          (net (rename add16_wf_13 "add16_wf[13]") (joined
           (portRef Q (instanceRef regq16_pipe_31))
           (portRef A (instanceRef q16_13_13))
          ))
          (net (rename sbc16_w_13 "sbc16_w[13]") (joined
           (portRef S0 (instanceRef sbc16_w_cry_13_0))
           (portRef D (instanceRef regq16_pipe_30))
          ))
          (net (rename sbc16_wf_13 "sbc16_wf[13]") (joined
           (portRef Q (instanceRef regq16_pipe_30))
           (portRef B (instanceRef q16_13_13))
          ))
          (net regq16_pipe_28 (joined
           (portRef Q (instanceRef regq16_pipe_28))
           (portRef A (instanceRef q16_15_13))
          ))
          (net N_806 (joined
           (portRef Z (instanceRef q16_18_13))
           (portRef D (instanceRef regq16_pipe_26))
          ))
          (net regq16_pipe_26 (joined
           (portRef Q (instanceRef regq16_pipe_26))
           (portRef B (instanceRef q16_19_13))
          ))
          (net N_918 (joined
           (portRef Z (instanceRef q16_25_13))
           (portRef D (instanceRef regq16_pipe_24))
          ))
          (net regq16_pipe_24 (joined
           (portRef Q (instanceRef regq16_pipe_24))
           (portRef B (instanceRef q16_26_13))
          ))
          (net N_710 (joined
           (portRef Z (instanceRef q16_13))
           (portRef D (instanceRef regq16_pipe_22))
          ))
          (net regq16_pipe_22 (joined
           (portRef Q (instanceRef regq16_pipe_22))
           (portRef A (instanceRef q16_27_13))
          ))
          (net (rename add16_w_14 "add16_w[14]") (joined
           (portRef S1 (instanceRef add16_w_cry_13_0))
           (portRef A (instanceRef q16_3_14))
           (portRef D (instanceRef regq16_pipe_20))
          ))
          (net (rename add16_wf_14 "add16_wf[14]") (joined
           (portRef Q (instanceRef regq16_pipe_20))
           (portRef A (instanceRef q16_13_14))
          ))
          (net N_920 (joined
           (portRef Z (instanceRef q16_25_15))
           (portRef D (instanceRef regq16_pipe_2))
          ))
          (net regq16_pipe_2 (joined
           (portRef Q (instanceRef regq16_pipe_2))
           (portRef B (instanceRef q16_26_15))
          ))
          (net (rename sbc16_w_14 "sbc16_w[14]") (joined
           (portRef S1 (instanceRef sbc16_w_cry_13_0))
           (portRef D (instanceRef regq16_pipe_19))
          ))
          (net (rename sbc16_wf_14 "sbc16_wf[14]") (joined
           (portRef Q (instanceRef regq16_pipe_19))
           (portRef B (instanceRef q16_13_14))
          ))
          (net N_2076_i (joined
           (portRef Z (instanceRef regq16_pipe_185_RNO))
           (portRef D (instanceRef regq16_pipe_185))
          ))
          (net regq16_pipe_185 (joined
           (portRef Q (instanceRef regq16_pipe_185))
           (portRef A (instanceRef q16_11_bm_1))
          ))
          (net N_778 (joined
           (portRef Z (instanceRef q16_17_0_a3_1))
           (portRef D (instanceRef regq16_pipe_183))
          ))
          (net regq16_pipe_183 (joined
           (portRef Q (instanceRef regq16_pipe_183))
           (portRef A (instanceRef q16_24_1))
          ))
          (net regq16_pipe_178 (joined
           (portRef Q (instanceRef regq16_pipe_178))
           (portRef A (instanceRef q16_11_am_1))
          ))
          (net N_570 (joined
           (portRef Z (instanceRef q16_4_1))
           (portRef D (instanceRef regq16_pipe_174))
          ))
          (net regq16_pipe_174 (joined
           (portRef Q (instanceRef regq16_pipe_174))
           (portRef A (instanceRef q16_12_1))
          ))
          (net (rename add16_wf_0 "add16_wf[0]") (joined
           (portRef Q (instanceRef regq16_pipe_172))
           (portRef A (instanceRef q16_13_0))
          ))
          (net (rename sbc16_w_0 "sbc16_w[0]") (joined
           (portRef S1 (instanceRef sbc16_w_cry_0_0))
           (portRef D (instanceRef regq16_pipe_171))
          ))
          (net (rename sbc16_wf_0 "sbc16_wf[0]") (joined
           (portRef Q (instanceRef regq16_pipe_171))
           (portRef B (instanceRef q16_13_0))
          ))
          (net regq16_pipe_17 (joined
           (portRef Q (instanceRef regq16_pipe_17))
           (portRef A (instanceRef q16_15_14))
          ))
          (net N_2088_i (joined
           (portRef Z (instanceRef regq16_pipe_169_RNO))
           (portRef D (instanceRef regq16_pipe_169))
          ))
          (net regq16_pipe_169 (joined
           (portRef Q (instanceRef regq16_pipe_169))
           (portRef A (instanceRef q16_15_0))
          ))
          (net N_793 (joined
           (portRef Z (instanceRef q16_18_0))
           (portRef D (instanceRef regq16_pipe_167))
          ))
          (net regq16_pipe_167 (joined
           (portRef Q (instanceRef regq16_pipe_167))
           (portRef B (instanceRef q16_19_0))
          ))
          (net N_905 (joined
           (portRef Z (instanceRef q16_25_0))
           (portRef D (instanceRef regq16_pipe_165))
          ))
          (net regq16_pipe_165 (joined
           (portRef Q (instanceRef regq16_pipe_165))
           (portRef B (instanceRef q16_26_0))
          ))
          (net N_697 (joined
           (portRef Z (instanceRef q16_12_0))
           (portRef D (instanceRef regq16_pipe_163))
          ))
          (net regq16_pipe_163 (joined
           (portRef Q (instanceRef regq16_pipe_163))
           (portRef A (instanceRef q16_27_0))
          ))
          (net (rename add16_w_1 "add16_w[1]") (joined
           (portRef S0 (instanceRef add16_w_cry_1_0))
           (portRef A (instanceRef q16_3_1))
           (portRef D (instanceRef regq16_pipe_161))
          ))
          (net (rename add16_wf_1 "add16_wf[1]") (joined
           (portRef Q (instanceRef regq16_pipe_161))
           (portRef A (instanceRef q16_13_1))
           (portRef A (instanceRef q8_17_1))
           (portRef A (instanceRef q8_23_am_1))
          ))
          (net (rename sbc16_w_1 "sbc16_w[1]") (joined
           (portRef S0 (instanceRef sbc16_w_cry_1_0))
           (portRef A (instanceRef q8_8_0_a3_1))
           (portRef D (instanceRef regq16_pipe_160))
          ))
          (net (rename sbc16_wf_1 "sbc16_wf[1]") (joined
           (portRef Q (instanceRef regq16_pipe_160))
           (portRef B (instanceRef q16_13_1))
          ))
          (net regq16_pipe_158 (joined
           (portRef Q (instanceRef regq16_pipe_158))
           (portRef A (instanceRef q16_15_1))
          ))
          (net N_794 (joined
           (portRef Z (instanceRef q16_18_1))
           (portRef D (instanceRef regq16_pipe_156))
          ))
          (net regq16_pipe_156 (joined
           (portRef Q (instanceRef regq16_pipe_156))
           (portRef B (instanceRef q16_26_am_1))
          ))
          (net regq16_pipe_154 (joined
           (portRef Q (instanceRef regq16_pipe_154))
           (portRef B (instanceRef q16_11_bm_1))
          ))
          (net N_842 (joined
           (portRef Z (instanceRef q16_21_1))
           (portRef D (instanceRef regq16_pipe_152))
          ))
          (net regq16_pipe_152 (joined
           (portRef Q (instanceRef regq16_pipe_152))
           (portRef A (instanceRef q16_26_bm_1))
          ))
          (net N_807 (joined
           (portRef Z (instanceRef q16_18_14))
           (portRef D (instanceRef regq16_pipe_15))
          ))
          (net regq16_pipe_15 (joined
           (portRef Q (instanceRef regq16_pipe_15))
           (portRef B (instanceRef q16_19_14))
          ))
          (net (rename sbc16_w_2 "sbc16_w[2]") (joined
           (portRef S1 (instanceRef sbc16_w_cry_1_0))
           (portRef B (instanceRef q8_13_am_2))
           (portRef D (instanceRef regq16_pipe_149))
          ))
          (net (rename sbc16_wf_2 "sbc16_wf[2]") (joined
           (portRef Q (instanceRef regq16_pipe_149))
           (portRef B (instanceRef q16_13_2))
          ))
          (net regq16_pipe_147 (joined
           (portRef Q (instanceRef regq16_pipe_147))
           (portRef B (instanceRef q16_15_2))
          ))
          (net N_795 (joined
           (portRef Z (instanceRef q16_18_2))
           (portRef D (instanceRef regq16_pipe_145))
          ))
          (net regq16_pipe_145 (joined
           (portRef Q (instanceRef regq16_pipe_145))
           (portRef B (instanceRef q16_19_2))
          ))
          (net N_907 (joined
           (portRef Z (instanceRef q16_25_2))
           (portRef D (instanceRef regq16_pipe_143))
          ))
          (net regq16_pipe_143 (joined
           (portRef Q (instanceRef regq16_pipe_143))
           (portRef B (instanceRef q16_26_2))
          ))
          (net N_699 (joined
           (portRef Z (instanceRef q16_12_2))
           (portRef D (instanceRef regq16_pipe_141))
          ))
          (net (rename dec_o_alu_opcodef_7_4 "dec_o_alu_opcodef_7[4]") (joined
           (portRef Q (instanceRef regq16_pipe_14))
           (portRef C (instanceRef q8_2_1))
           (portRef C (instanceRef q8_9_1))
           (portRef C (instanceRef q8_17_1))
           (portRef C (instanceRef q8_9_0))
           (portRef C (instanceRef q8_5_0))
           (portRef C (instanceRef q8_21_6))
           (portRef C (instanceRef q8_21_5))
           (portRef C (instanceRef q8_21_4))
           (portRef C (instanceRef q8_21_3))
           (portRef C (instanceRef q8_21_2))
           (portRef C (instanceRef q8_6_bm_1))
           (portRef C (instanceRef q8_6_am_1))
           (portRef C (instanceRef q8_23_bm_1))
           (portRef C (instanceRef q8_23_am_1))
           (portRef C (instanceRef q8_23_bm_0))
           (portRef C (instanceRef q8_23_am_0))
           (portRef C (instanceRef q16_12_1))
           (portRef C (instanceRef q16_26_7))
           (portRef C0 (instanceRef q16_26_1))
           (portRef C (instanceRef q16_26_15))
           (portRef C (instanceRef q16_26_14))
           (portRef C (instanceRef q16_26_13))
           (portRef C (instanceRef q16_26_12))
           (portRef C (instanceRef q16_26_11))
           (portRef C (instanceRef q16_26_10))
           (portRef C (instanceRef q16_26_9))
           (portRef C (instanceRef q16_26_8))
           (portRef C (instanceRef q16_26_0))
           (portRef C (instanceRef q16_26_6))
           (portRef C (instanceRef q16_26_5))
           (portRef C (instanceRef q16_26_4))
           (portRef C (instanceRef q16_26_3))
           (portRef C (instanceRef q16_26_2))
          ))
          (net (rename sbc16_w_3 "sbc16_w[3]") (joined
           (portRef S0 (instanceRef sbc16_w_cry_3_0))
           (portRef B (instanceRef q8_13_am_3))
           (portRef D (instanceRef regq16_pipe_138))
          ))
          (net (rename sbc16_wf_3 "sbc16_wf[3]") (joined
           (portRef Q (instanceRef regq16_pipe_138))
           (portRef B (instanceRef q16_13_3))
          ))
          (net regq16_pipe_136 (joined
           (portRef Q (instanceRef regq16_pipe_136))
           (portRef A (instanceRef q16_15_3))
          ))
          (net N_796 (joined
           (portRef Z (instanceRef q16_18_3))
           (portRef D (instanceRef regq16_pipe_134))
          ))
          (net regq16_pipe_134 (joined
           (portRef Q (instanceRef regq16_pipe_134))
           (portRef B (instanceRef q16_19_3))
          ))
          (net N_908 (joined
           (portRef Z (instanceRef q16_25_3))
           (portRef D (instanceRef regq16_pipe_132))
          ))
          (net regq16_pipe_132 (joined
           (portRef Q (instanceRef regq16_pipe_132))
           (portRef B (instanceRef q16_26_3))
          ))
          (net N_700 (joined
           (portRef Z (instanceRef q16_12_3))
           (portRef D (instanceRef regq16_pipe_130))
          ))
          (net regq16_pipe_130 (joined
           (portRef Q (instanceRef regq16_pipe_130))
           (portRef A (instanceRef q16_27_3))
           (portRef A (instanceRef q_out_1_am_3))
          ))
          (net N_919 (joined
           (portRef Z (instanceRef q16_25_14))
           (portRef D (instanceRef regq16_pipe_13))
          ))
          (net regq16_pipe_13 (joined
           (portRef Q (instanceRef regq16_pipe_13))
           (portRef B (instanceRef q16_26_14))
          ))
          (net (rename sbc16_w_4 "sbc16_w[4]") (joined
           (portRef S1 (instanceRef sbc16_w_cry_3_0))
           (portRef B (instanceRef q8_13_am_4))
           (portRef D (instanceRef regq16_pipe_127))
          ))
          (net (rename sbc16_wf_4 "sbc16_wf[4]") (joined
           (portRef Q (instanceRef regq16_pipe_127))
           (portRef B (instanceRef q16_13_4))
          ))
          (net regq16_pipe_125 (joined
           (portRef Q (instanceRef regq16_pipe_125))
           (portRef A (instanceRef q16_15_4))
          ))
          (net N_797 (joined
           (portRef Z (instanceRef q16_18_4))
           (portRef D (instanceRef regq16_pipe_123))
          ))
          (net regq16_pipe_123 (joined
           (portRef Q (instanceRef regq16_pipe_123))
           (portRef B (instanceRef q16_19_4))
          ))
          (net N_909 (joined
           (portRef Z (instanceRef q16_25_4))
           (portRef D (instanceRef regq16_pipe_121))
          ))
          (net regq16_pipe_121 (joined
           (portRef Q (instanceRef regq16_pipe_121))
           (portRef B (instanceRef q16_26_4))
          ))
          (net N_701 (joined
           (portRef Z (instanceRef q16_12_4))
           (portRef D (instanceRef regq16_pipe_119))
          ))
          (net regq16_pipe_119 (joined
           (portRef Q (instanceRef regq16_pipe_119))
           (portRef A (instanceRef q16_27_4))
           (portRef A (instanceRef q_out_1_am_4))
          ))
          (net (rename sbc16_w_5 "sbc16_w[5]") (joined
           (portRef S0 (instanceRef sbc16_w_cry_5_0))
           (portRef B (instanceRef q8_13_am_5))
           (portRef D (instanceRef regq16_pipe_116))
          ))
          (net (rename sbc16_wf_5 "sbc16_wf[5]") (joined
           (portRef Q (instanceRef regq16_pipe_116))
           (portRef B (instanceRef q16_13_5))
          ))
          (net regq16_pipe_114 (joined
           (portRef Q (instanceRef regq16_pipe_114))
           (portRef B (instanceRef q16_15_5))
          ))
          (net N_798 (joined
           (portRef Z (instanceRef q16_18_5))
           (portRef D (instanceRef regq16_pipe_112))
          ))
          (net regq16_pipe_112 (joined
           (portRef Q (instanceRef regq16_pipe_112))
           (portRef B (instanceRef q16_19_5))
          ))
          (net N_910 (joined
           (portRef Z (instanceRef q16_25_5))
           (portRef D (instanceRef regq16_pipe_110))
          ))
          (net regq16_pipe_110 (joined
           (portRef Q (instanceRef regq16_pipe_110))
           (portRef B (instanceRef q16_26_5))
          ))
          (net N_711 (joined
           (portRef Z (instanceRef q16_14))
           (portRef D (instanceRef regq16_pipe_11))
          ))
          (net regq16_pipe_11 (joined
           (portRef Q (instanceRef regq16_pipe_11))
           (portRef A (instanceRef q16_27_14))
          ))
          (net N_702 (joined
           (portRef Z (instanceRef q16_5))
           (portRef D (instanceRef regq16_pipe_108))
          ))
          (net regq16_pipe_108 (joined
           (portRef Q (instanceRef regq16_pipe_108))
           (portRef A (instanceRef z16_2_1))
           (portRef A (instanceRef q_out_1_am_5))
          ))
          (net (rename sbc16_w_6 "sbc16_w[6]") (joined
           (portRef S1 (instanceRef sbc16_w_cry_5_0))
           (portRef B (instanceRef q8_13_am_6))
           (portRef D (instanceRef regq16_pipe_105))
          ))
          (net (rename sbc16_wf_6 "sbc16_wf[6]") (joined
           (portRef Q (instanceRef regq16_pipe_105))
           (portRef B (instanceRef q16_13_6))
          ))
          (net regq16_pipe_103 (joined
           (portRef Q (instanceRef regq16_pipe_103))
           (portRef A (instanceRef q16_15_6))
          ))
          (net (rename dec_o_alu_opcodef_7_2 "dec_o_alu_opcodef_7[2]") (joined
           (portRef Q (instanceRef regq16_pipe_102))
           (portRef C (instanceRef q8_19_0))
           (portRef C0 (instanceRef q16_11_1))
           (portRef C (instanceRef q8_3_1))
           (portRef C0 (instanceRef q8_6_1))
           (portRef C (instanceRef q8_10_1))
           (portRef C0 (instanceRef q8_23_1))
           (portRef C (instanceRef q8_19_1))
           (portRef C0 (instanceRef q8_23_0))
           (portRef C (instanceRef q8_10_0))
           (portRef C (instanceRef q8_6_0))
           (portRef C (instanceRef q16_19_7))
           (portRef C (instanceRef q8_23_6))
           (portRef C (instanceRef q8_23_5))
           (portRef C (instanceRef q8_23_4))
           (portRef C (instanceRef q8_23_3))
           (portRef C (instanceRef q8_23_2))
           (portRef C (instanceRef q16_19_15))
           (portRef C (instanceRef q16_19_14))
           (portRef C (instanceRef q16_19_13))
           (portRef C (instanceRef q16_19_12))
           (portRef C (instanceRef q16_19_11))
           (portRef C (instanceRef q16_19_10))
           (portRef C (instanceRef q16_19_9))
           (portRef C (instanceRef q16_19_8))
           (portRef C (instanceRef q16_19_0))
           (portRef C (instanceRef q16_19_6))
           (portRef C (instanceRef q16_19_5))
           (portRef C (instanceRef q16_19_4))
           (portRef C (instanceRef q16_19_3))
           (portRef C (instanceRef q16_19_2))
           (portRef C (instanceRef q16_26_bm_1))
           (portRef C (instanceRef q16_26_am_1))
          ))
          (net N_799 (joined
           (portRef Z (instanceRef q16_18_6))
           (portRef D (instanceRef regq16_pipe_101))
          ))
          (net regq16_pipe_101 (joined
           (portRef Q (instanceRef regq16_pipe_101))
           (portRef B (instanceRef q16_19_6))
          ))
          (net N_712 (joined
           (portRef Z (instanceRef regq16_pipe_RNO))
           (portRef D (instanceRef regq16_pipe))
          ))
          (net regq16_pipe (joined
           (portRef Q (instanceRef regq16_pipe))
           (portRef A (instanceRef q16_27_15))
          ))
          (net (rename regs_o_CCR_2 "regs_o_CCR[2]") (joined
           (portRef regs_o_CCR_2)
           (portRef D (instanceRef reg_z_in))
          ))
          (net (rename regs_o_CCR_3 "regs_o_CCR[3]") (joined
           (portRef regs_o_CCR_3)
           (portRef D (instanceRef reg_n_in))
          ))
          (net reg_n_in (joined
           (portRef Q (instanceRef reg_n_in))
           (portRef D (instanceRef nff_pipe_9))
          ))
          (net reg_n_inf (joined
           (portRef Q (instanceRef nff_pipe_9))
           (portRef A (instanceRef n16))
          ))
          (net (rename dec_o_dest_reg_addr_3 "dec_o_dest_reg_addr[3]") (joined
           (portRef (member dec_o_dest_reg_addr 0))
           (portRef C (instanceRef q_out_1_7))
           (portRef A (instanceRef un1_state_97_RNINJB31))
           (portRef C0 (instanceRef q_out_1_1))
           (portRef C (instanceRef q_out_1_0))
           (portRef C0 (instanceRef q_out_1_6))
           (portRef C0 (instanceRef q_out_1_5))
           (portRef C0 (instanceRef q_out_1_4))
           (portRef C0 (instanceRef q_out_1_3))
           (portRef C0 (instanceRef q_out_1_2))
           (portRef B (instanceRef un1_k_cpu_addr_6_sqmuxa))
           (portRef B (instanceRef next_state_10_1_791_a5))
           (portRef D (instanceRef nff_pipe_8))
           (portRef A (instanceRef next_state_10_2_766_i_0))
          ))
          (net (rename dec_o_dest_reg_addrf_3 "dec_o_dest_reg_addrf[3]") (joined
           (portRef Q (instanceRef nff_pipe_8))
           (portRef C (instanceRef CCRo_7_3))
           (portRef C (instanceRef CCRo_bm_2))
           (portRef C (instanceRef CCRo_bm_1))
          ))
          (net (rename regq8_7 "regq8[7]") (joined
           (portRef Z (instanceRef q8_28_7))
           (portRef C (instanceRef z8_2_0))
           (portRef A (instanceRef q_out_1_7))
           (portRef D (instanceRef nff_pipe_7))
          ))
          (net (rename regq8f_7 "regq8f[7]") (joined
           (portRef Q (instanceRef nff_pipe_7))
           (portRef B (instanceRef CCRo_7_3))
          ))
          (net CCRo19 (joined
           (portRef Z (instanceRef CCRo19_0_a2))
           (portRef B (instanceRef CCRo19_0_a2_RNIO48BD))
           (portRef D (instanceRef nff_pipe_6))
          ))
          (net CCRo19f (joined
           (portRef Q (instanceRef nff_pipe_6))
           (portRef A (instanceRef CCRo_0_3))
           (portRef A (instanceRef CCRo_am_2))
           (portRef A (instanceRef CCRo_am_1))
          ))
          (net (rename CCRo_3f_3 "CCRo_3f[3]") (joined
           (portRef Q (instanceRef nff_pipe_5))
           (portRef C (instanceRef CCRo_0_3))
          ))
          (net (rename CCRo_2f_3 "CCRo_2f[3]") (joined
           (portRef Q (instanceRef nff_pipe_4))
           (portRef B (instanceRef CCRo_0_3))
          ))
          (net (rename alu_o_result_15 "alu_o_result[15]") (joined
           (portRef Z (instanceRef q16_27_15))
           (portRef D (instanceRef z16_2_11))
           (portRef C (instanceRef datamux_o_dest_15))
           (portRef D (instanceRef nff_pipe_2))
          ))
          (net (rename alu_o_resultf_15 "alu_o_resultf[15]") (joined
           (portRef Q (instanceRef nff_pipe_2))
           (portRef C (instanceRef n16))
          ))
          (net un1_opcode_in_9 (joined
           (portRef Z (instanceRef un1_opcode_in_9))
           (portRef D (instanceRef nff_pipe_10))
          ))
          (net un1_opcode_in_9f (joined
           (portRef Q (instanceRef nff_pipe_10))
           (portRef B (instanceRef z16_1))
           (portRef B (instanceRef n16))
          ))
          (net (rename k_eahif_7 "k_eahif[7]") (joined
           (portRef Q (instanceRef k_new_pc_pipe_73))
           (portRef B (instanceRef k_new_pc_17_am_15))
          ))
          (net un1_state80_RNITTHJ (joined
           (portRef un1_state80_RNITTHJ)
           (portRef SP (instanceRef k_new_pc_pipe))
           (portRef SP (instanceRef k_new_pc_pipe_1))
           (portRef SP (instanceRef k_new_pc_pipe_10))
           (portRef SP (instanceRef k_new_pc_pipe_11))
           (portRef SP (instanceRef k_new_pc_pipe_13))
           (portRef SP (instanceRef k_new_pc_pipe_14))
           (portRef SP (instanceRef k_new_pc_pipe_18))
           (portRef SP (instanceRef k_new_pc_pipe_19))
           (portRef SP (instanceRef k_new_pc_pipe_21))
           (portRef SP (instanceRef k_new_pc_pipe_25))
           (portRef SP (instanceRef k_new_pc_pipe_26))
           (portRef SP (instanceRef k_new_pc_pipe_28))
           (portRef SP (instanceRef k_new_pc_pipe_32))
           (portRef SP (instanceRef k_new_pc_pipe_33))
           (portRef SP (instanceRef k_new_pc_pipe_39))
           (portRef SP (instanceRef k_new_pc_pipe_4))
           (portRef SP (instanceRef k_new_pc_pipe_40))
           (portRef SP (instanceRef k_new_pc_pipe_44))
           (portRef SP (instanceRef k_new_pc_pipe_46))
           (portRef SP (instanceRef k_new_pc_pipe_49))
           (portRef SP (instanceRef k_new_pc_pipe_52))
           (portRef SP (instanceRef k_new_pc_pipe_58))
           (portRef SP (instanceRef k_new_pc_pipe_66))
           (portRef SP (instanceRef k_new_pc_pipe_67))
           (portRef SP (instanceRef k_new_pc_pipe_68))
           (portRef SP (instanceRef k_new_pc_pipe_69))
           (portRef SP (instanceRef k_new_pc_pipe_7))
           (portRef SP (instanceRef k_new_pc_pipe_71))
           (portRef SP (instanceRef k_new_pc_pipe_73))
          ))
          (net (rename k_eahif_6 "k_eahif[6]") (joined
           (portRef Q (instanceRef k_new_pc_pipe_71))
           (portRef B (instanceRef k_new_pc_17_am_14))
          ))
          (net (rename k_ealof_7 "k_ealof[7]") (joined
           (portRef Q (instanceRef k_new_pc_pipe_7))
           (portRef B (instanceRef k_new_pc_17_7))
          ))
          (net (rename k_eahif_4 "k_eahif[4]") (joined
           (portRef Q (instanceRef k_new_pc_pipe_69))
           (portRef B (instanceRef k_new_pc_17_am_12))
          ))
          (net (rename k_eahif_0 "k_eahif[0]") (joined
           (portRef Q (instanceRef k_new_pc_pipe_67))
           (portRef B (instanceRef k_new_pc_17_am_8))
          ))
          (net (rename regs_o_dp_0 "regs_o_dp[0]") (joined
           (portRef regs_o_dp_0)
           (portRef D (instanceRef k_new_pc_pipe_66))
          ))
          (net (rename regs_o_dpf_0 "regs_o_dpf[0]") (joined
           (portRef Q (instanceRef k_new_pc_pipe_66))
           (portRef C (instanceRef k_new_pc_17_am_8))
          ))
          (net state85 (joined
           (portRef state85)
           (portRef D (instanceRef k_new_pc_pipe_44))
          ))
          (net state85f (joined
           (portRef Q (instanceRef k_new_pc_pipe_44))
           (portRef C (instanceRef un1_k_memlo_8))
           (portRef C (instanceRef un1_k_memlo_9))
           (portRef C (instanceRef un1_k_memlo_10))
           (portRef C (instanceRef un1_k_memlo_11))
           (portRef C (instanceRef un1_k_memlo_12))
           (portRef C (instanceRef un1_k_memlo_13))
           (portRef C (instanceRef un1_k_memlo_14))
           (portRef C (instanceRef un1_k_memlo_15))
          ))
          (net (rename k_memhif_7 "k_memhif[7]") (joined
           (portRef Q (instanceRef k_new_pc_pipe_40))
           (portRef A (instanceRef un1_k_memlo_15))
           (portRef B (instanceRef k_new_pc_17_1_15))
          ))
          (net (rename regs_o_eamem_addrf_3 "regs_o_eamem_addrf[3]") (joined
           (portRef Q (instanceRef k_new_pc_pipe_4))
           (portRef C (instanceRef k_new_pc_17_1_3))
          ))
          (net (rename regs_o_eamem_addrf_15 "regs_o_eamem_addrf[15]") (joined
           (portRef Q (instanceRef k_new_pc_pipe_39))
           (portRef C (instanceRef k_new_pc_17_1_15))
          ))
          (net (rename k_memhif_6 "k_memhif[6]") (joined
           (portRef Q (instanceRef k_new_pc_pipe_33))
           (portRef A (instanceRef un1_k_memlo_14))
           (portRef B (instanceRef k_new_pc_17_1_14))
          ))
          (net (rename regs_o_eamem_addrf_14 "regs_o_eamem_addrf[14]") (joined
           (portRef Q (instanceRef k_new_pc_pipe_32))
           (portRef C (instanceRef k_new_pc_17_1_14))
          ))
          (net (rename regs_o_dpf_7 "regs_o_dpf[7]") (joined
           (portRef Q (instanceRef k_new_pc_pipe_28))
           (portRef C (instanceRef k_new_pc_17_am_15))
          ))
          (net (rename k_memhif_4 "k_memhif[4]") (joined
           (portRef Q (instanceRef k_new_pc_pipe_26))
           (portRef A (instanceRef un1_k_memlo_12))
           (portRef B (instanceRef k_new_pc_17_1_12))
          ))
          (net (rename regs_o_eamem_addrf_12 "regs_o_eamem_addrf[12]") (joined
           (portRef Q (instanceRef k_new_pc_pipe_25))
           (portRef C (instanceRef k_new_pc_17_1_12))
          ))
          (net (rename regs_o_dpf_6 "regs_o_dpf[6]") (joined
           (portRef Q (instanceRef k_new_pc_pipe_21))
           (portRef C (instanceRef k_new_pc_17_am_14))
          ))
          (net (rename k_memhif_0 "k_memhif[0]") (joined
           (portRef Q (instanceRef k_new_pc_pipe_19))
           (portRef A (instanceRef un1_k_memlo_8))
           (portRef B (instanceRef k_new_pc_17_1_8))
          ))
          (net (rename regs_o_eamem_addrf_8 "regs_o_eamem_addrf[8]") (joined
           (portRef Q (instanceRef k_new_pc_pipe_18))
           (portRef C (instanceRef k_new_pc_17_1_8))
          ))
          (net (rename regs_o_dp_4 "regs_o_dp[4]") (joined
           (portRef regs_o_dp_4)
           (portRef D (instanceRef k_new_pc_pipe_14))
          ))
          (net (rename regs_o_dpf_4 "regs_o_dpf[4]") (joined
           (portRef Q (instanceRef k_new_pc_pipe_14))
           (portRef C (instanceRef k_new_pc_17_am_12))
          ))
          (net dec_o_ea_indirect (joined
           (portRef dec_o_ea_indirect)
           (portRef D (instanceRef k_new_pc_pipe_13))
          ))
          (net (rename regs_o_eamem_addrf_7 "regs_o_eamem_addrf[7]") (joined
           (portRef Q (instanceRef k_new_pc_pipe_11))
           (portRef C (instanceRef k_new_pc_17_1_7))
          ))
          (net k_new_pc_17_sn_N_6_mux (joined
           (portRef k_new_pc_17_sn_N_6_mux)
           (portRef D (instanceRef k_new_pc_pipe_1))
          ))
          (net (rename k_ealof_3 "k_ealof[3]") (joined
           (portRef Q (instanceRef k_new_pc_pipe))
           (portRef B (instanceRef k_new_pc_17_3))
          ))
          (net N_1950_2 (joined
           (portRef N_1950_2)
           (portRef D (instanceRef hflag_pipe_6))
          ))
          (net hflag_pipe_6 (joined
           (portRef Q (instanceRef hflag_pipe_6))
           (portRef A (instanceRef CCRo_0_5))
          ))
          (net (rename CCRo_3f_5 "CCRo_3f[5]") (joined
           (portRef Q (instanceRef hflag_pipe_5))
           (portRef C (instanceRef CCRo_0_5))
          ))
          (net (rename CCRo_2f_5 "CCRo_2f[5]") (joined
           (portRef Q (instanceRef hflag_pipe_4))
           (portRef B (instanceRef CCRo_0_5))
          ))
          (net CCRo_sn_N_2f (joined
           (portRef Q (instanceRef hflag_pipe_3))
           (portRef C0 (instanceRef CCRo_3))
           (portRef A (instanceRef CCRo_5))
           (portRef C0 (instanceRef CCRo_2))
           (portRef C0 (instanceRef CCRo_1))
          ))
          (net (rename regs_o_CCRf_5 "regs_o_CCRf[5]") (joined
           (portRef Q (instanceRef hflag_pipe_2))
           (portRef C (instanceRef CCRo_5))
          ))
          (net N_400 (joined
           (portRef Z (instanceRef q8_13_7))
           (portRef D1 (instanceRef q8_14_7))
          ))
          (net N_352 (joined
           (portRef Z (instanceRef q8_7_7))
           (portRef D0 (instanceRef q8_14_7))
          ))
          (net N_351 (joined
           (portRef Z (instanceRef q8_7_6))
           (portRef A (instanceRef q8_14_6))
          ))
          (net N_399 (joined
           (portRef Z (instanceRef q8_13_6))
           (portRef B (instanceRef q8_14_6))
          ))
          (net N_350_0 (joined
           (portRef Z (instanceRef q8_7_5))
           (portRef A (instanceRef q8_14_5))
          ))
          (net N_398 (joined
           (portRef Z (instanceRef q8_13_5))
           (portRef B (instanceRef q8_14_5))
          ))
          (net N_347 (joined
           (portRef Z (instanceRef q8_7_2))
           (portRef A (instanceRef q8_14_2))
          ))
          (net N_395 (joined
           (portRef Z (instanceRef q8_13_2))
           (portRef B (instanceRef q8_14_2))
          ))
          (net N_348 (joined
           (portRef Z (instanceRef q8_7_3))
           (portRef A (instanceRef q8_14_3))
          ))
          (net N_396 (joined
           (portRef Z (instanceRef q8_13_3))
           (portRef B (instanceRef q8_14_3))
          ))
          (net N_312_1 (joined
           (portRef Z (instanceRef mul16_w_madd_3_8_d))
           (portRef A (instanceRef q8_7_am_7))
          ))
          (net (rename q8_7_am_7 "q8_7_am[7]") (joined
           (portRef Z (instanceRef q8_7_am_7))
           (portRef BLUT (instanceRef q8_7_7))
          ))
          (net (rename q8_7_bm_7 "q8_7_bm[7]") (joined
           (portRef Z (instanceRef q8_7_bm_7))
           (portRef ALUT (instanceRef q8_7_7))
          ))
          (net N_343 (joined
           (portRef Z (instanceRef q8_6_6))
           (portRef D1 (instanceRef q8_7_6))
          ))
          (net N_319 (joined
           (portRef Z (instanceRef q8_3_6))
           (portRef D0 (instanceRef q8_7_6))
          ))
          (net N_342 (joined
           (portRef Z (instanceRef q8_6_5))
           (portRef D1 (instanceRef q8_7_5))
          ))
          (net N_318 (joined
           (portRef Z (instanceRef q8_3_5))
           (portRef D0 (instanceRef q8_7_5))
          ))
          (net N_339 (joined
           (portRef Z (instanceRef q8_6_2))
           (portRef D1 (instanceRef q8_7_2))
          ))
          (net N_315 (joined
           (portRef Z (instanceRef q8_3_2))
           (portRef D0 (instanceRef q8_7_2))
          ))
          (net N_340 (joined
           (portRef Z (instanceRef q8_6_3))
           (portRef D1 (instanceRef q8_7_3))
          ))
          (net N_316 (joined
           (portRef Z (instanceRef q8_3_3))
           (portRef D0 (instanceRef q8_7_3))
          ))
          (net (rename datamux_o_alu_in_left_path_data_5 "datamux_o_alu_in_left_path_data[5]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_data_5))
           (portRef B1 (instanceRef mul16_w_madd_2_cry_1_0))
           (portRef A1 (instanceRef mul16_w_madd_2_cry_2_0))
           (portRef A0 (instanceRef mul16_w_madd_2_cry_2_0))
           (portRef A1 (instanceRef mul16_w_madd_2_cry_4_0))
           (portRef A0 (instanceRef mul16_w_madd_2_cry_4_0))
           (portRef A1 (instanceRef mul16_w_madd_2_cry_6_0))
           (portRef A0 (instanceRef mul16_w_madd_2_cry_6_0))
           (portRef A0 (instanceRef mul16_w_madd_2_cry_8_0))
           (portRef A0 (instanceRef sbc8_w_cry_5_0))
           (portRef A0 (instanceRef sbc16_w_cry_5_0))
           (portRef B0 (instanceRef sub16_w_cry_5_0))
           (portRef A0 (instanceRef adc16_w_cry_5_0))
           (portRef A0 (instanceRef add8_w_cry_5_0))
           (portRef C0 (instanceRef sub8_w_cry_5_0))
           (portRef A0 (instanceRef add16_w_cry_5_0))
           (portRef A0 (instanceRef neg16_w_cry_5_0))
           (portRef B (instanceRef q8_22_4))
           (portRef A (instanceRef q8_9_4))
           (portRef A (instanceRef q8_22_5))
           (portRef B (instanceRef q8_17_6))
           (portRef B (instanceRef q8_2_4))
           (portRef A (instanceRef un3_daa8h_r_ac0_5))
           (portRef A (instanceRef q16_18_5))
           (portRef B (instanceRef q16_11_d_am_6))
           (portRef A (instanceRef q16_25_bm_4))
           (portRef A (instanceRef q16_25_am_5))
           (portRef B (instanceRef q16_11_bm_4))
           (portRef A (instanceRef q8_3_bm_5))
           (portRef A (instanceRef q8_13_bm_5))
           (portRef B (instanceRef daa8h_r_1_2))
           (portRef B (instanceRef daa8h_r_1_3))
           (portRef B (instanceRef q8_6_bm_5))
           (portRef B (instanceRef q8_6_am_5))
           (portRef A (instanceRef q8_6_am_6))
          ))
          (net (rename datamux_o_alu_in_left_path_data_6 "datamux_o_alu_in_left_path_data[6]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_data_6))
           (portRef C1 (instanceRef mul16_w_madd_3_cry_1_0))
           (portRef C1 (instanceRef mul16_w_madd_3_cry_2_0))
           (portRef C0 (instanceRef mul16_w_madd_3_cry_2_0))
           (portRef C1 (instanceRef mul16_w_madd_3_cry_4_0))
           (portRef C0 (instanceRef mul16_w_madd_3_cry_4_0))
           (portRef C1 (instanceRef mul16_w_madd_3_cry_6_0))
           (portRef C0 (instanceRef mul16_w_madd_3_cry_6_0))
           (portRef B1 (instanceRef mul16_w_madd_4_cry_3_0))
           (portRef A1 (instanceRef sbc8_w_cry_5_0))
           (portRef A1 (instanceRef sbc16_w_cry_5_0))
           (portRef B1 (instanceRef sub16_w_cry_5_0))
           (portRef A1 (instanceRef adc16_w_cry_5_0))
           (portRef A1 (instanceRef add8_w_cry_5_0))
           (portRef C1 (instanceRef sub8_w_cry_5_0))
           (portRef A1 (instanceRef add16_w_cry_5_0))
           (portRef A1 (instanceRef neg16_w_cry_5_0))
           (portRef C (instanceRef q8_7_bm_7))
           (portRef C (instanceRef vshl8_r))
           (portRef B (instanceRef q8_22_5))
           (portRef A (instanceRef q8_9_5))
           (portRef A (instanceRef q8_22_6))
           (portRef B (instanceRef q8_17_7))
           (portRef B (instanceRef un3_daa8h_r_ac0_5))
           (portRef A (instanceRef q16_18_6))
           (portRef B (instanceRef q16_11_d_am_7))
           (portRef A (instanceRef q16_25_bm_5))
           (portRef A (instanceRef q16_25_am_6))
           (portRef B (instanceRef q8_3_bm_5))
           (portRef A (instanceRef q8_3_bm_6))
           (portRef A (instanceRef q8_13_bm_6))
           (portRef C (instanceRef daa8h_r_1_2))
           (portRef C (instanceRef daa8h_r_1_3))
           (portRef B (instanceRef q8_6_am_6))
          ))
          (net (rename datamux_o_alu_in_right_path_data_6 "datamux_o_alu_in_right_path_data[6]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_right_path_data_6))
           (portRef B1 (instanceRef mul16_w_madd_3_cry_6_0))
           (portRef D0 (instanceRef mul16_w_madd_3_cry_6_0))
           (portRef B1 (instanceRef mul16_w_madd_2_cry_6_0))
           (portRef D0 (instanceRef mul16_w_madd_2_cry_6_0))
           (portRef B1 (instanceRef mul16_w_madd_1_cry_6_0))
           (portRef D0 (instanceRef mul16_w_madd_1_cry_6_0))
           (portRef B1 (instanceRef mul16_w_madd_0_cry_5_0))
           (portRef D0 (instanceRef mul16_w_madd_0_cry_5_0))
           (portRef B1 (instanceRef sbc8_w_cry_5_0))
           (portRef B1 (instanceRef sbc16_w_cry_5_0))
           (portRef A1 (instanceRef sub16_w_cry_5_0))
           (portRef B1 (instanceRef adc16_w_cry_5_0))
           (portRef B1 (instanceRef add8_w_cry_5_0))
           (portRef B1 (instanceRef sub8_w_cry_5_0))
           (portRef B1 (instanceRef add16_w_cry_5_0))
           (portRef B (instanceRef q8_9_6))
           (portRef B (instanceRef q16_18_6))
           (portRef B (instanceRef q16_25_bm_6))
           (portRef C (instanceRef q8_3_bm_6))
           (portRef B (instanceRef q8_13_bm_6))
           (portRef B (instanceRef q8_6_bm_6))
           (portRef C (instanceRef q8_6_am_6))
          ))
          (net (rename q8_6_am_6 "q8_6_am[6]") (joined
           (portRef Z (instanceRef q8_6_am_6))
           (portRef BLUT (instanceRef q8_6_6))
          ))
          (net (rename daa8h_r_1_2 "daa8h_r_1[2]") (joined
           (portRef Z (instanceRef daa8h_r_1_2))
           (portRef A (instanceRef q8_6_bm_6))
          ))
          (net (rename q8_6_bm_6 "q8_6_bm[6]") (joined
           (portRef Z (instanceRef q8_6_bm_6))
           (portRef ALUT (instanceRef q8_6_6))
          ))
          (net (rename datamux_o_alu_in_left_path_data_4 "datamux_o_alu_in_left_path_data[4]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_data_4))
           (portRef C1 (instanceRef mul16_w_madd_2_cry_1_0))
           (portRef C1 (instanceRef mul16_w_madd_2_cry_2_0))
           (portRef C0 (instanceRef mul16_w_madd_2_cry_2_0))
           (portRef C1 (instanceRef mul16_w_madd_2_cry_4_0))
           (portRef C0 (instanceRef mul16_w_madd_2_cry_4_0))
           (portRef C1 (instanceRef mul16_w_madd_2_cry_6_0))
           (portRef C0 (instanceRef mul16_w_madd_2_cry_6_0))
           (portRef B1 (instanceRef mul16_w_madd_4_cry_1_0))
           (portRef A1 (instanceRef sbc8_w_cry_3_0))
           (portRef A1 (instanceRef sbc16_w_cry_3_0))
           (portRef B1 (instanceRef sub16_w_cry_3_0))
           (portRef A1 (instanceRef adc16_w_cry_3_0))
           (portRef A1 (instanceRef add8_w_cry_3_0))
           (portRef C1 (instanceRef sub8_w_cry_3_0))
           (portRef A1 (instanceRef add16_w_cry_3_0))
           (portRef A1 (instanceRef neg16_w_cry_3_0))
           (portRef B (instanceRef q8_7_bm_4))
           (portRef A (instanceRef mul16_w_madd_2_1_b))
           (portRef B (instanceRef q8_22_3))
           (portRef A (instanceRef q8_9_3))
           (portRef A (instanceRef q8_22_4))
           (portRef B (instanceRef q8_17_5))
           (portRef A (instanceRef q8_2_4))
           (portRef A (instanceRef q16_18_4))
           (portRef B (instanceRef q16_11_d_am_5))
           (portRef A (instanceRef q16_25_bm_3))
           (portRef B (instanceRef q8_3_bm_3))
           (portRef A (instanceRef q16_25_am_4))
           (portRef B (instanceRef q16_11_bm_3))
           (portRef A (instanceRef q8_13_bm_4))
           (portRef A (instanceRef q8_6_am_5))
          ))
          (net (rename q8_6_am_5 "q8_6_am[5]") (joined
           (portRef Z (instanceRef q8_6_am_5))
           (portRef BLUT (instanceRef q8_6_5))
          ))
          (net daa8h_r (joined
           (portRef Z (instanceRef daa8h_r))
           (portRef A (instanceRef daa8h_r_1_2))
           (portRef A (instanceRef daa8h_r_1_3))
           (portRef A (instanceRef q8_6_bm_5))
          ))
          (net (rename q8_6_bm_5 "q8_6_bm[5]") (joined
           (portRef Z (instanceRef q8_6_bm_5))
           (portRef ALUT (instanceRef q8_6_5))
          ))
          (net (rename q16_12_d_0_14 "q16_12_d_0[14]") (joined
           (portRef Z (instanceRef q16_12_d_0_14))
           (portRef A (instanceRef q16_14))
          ))
          (net (rename mul16_w_14 "mul16_w[14]") (joined
           (portRef S1 (instanceRef mul16_w_madd_cry_9_0))
           (portRef B (instanceRef q16_14))
          ))
          (net q16_m1_e_0_0 (joined
           (portRef Z (instanceRef q16_m1_e_0_0))
           (portRef C (instanceRef q16_14))
          ))
          (net (rename q8_6_am_2 "q8_6_am[2]") (joined
           (portRef Z (instanceRef q8_6_am_2))
           (portRef BLUT (instanceRef q8_6_2))
          ))
          (net (rename daa8l_r_1_2 "daa8l_r_1[2]") (joined
           (portRef Z (instanceRef daa8l_r_1_2))
           (portRef A (instanceRef q8_6_bm_2))
          ))
          (net (rename q8_6_bm_2 "q8_6_bm[2]") (joined
           (portRef Z (instanceRef q8_6_bm_2))
           (portRef ALUT (instanceRef q8_6_2))
          ))
          (net (rename q8_6_am_3 "q8_6_am[3]") (joined
           (portRef Z (instanceRef q8_6_am_3))
           (portRef BLUT (instanceRef q8_6_3))
          ))
          (net (rename daa8l_r_1_3 "daa8l_r_1[3]") (joined
           (portRef Z (instanceRef daa8l_r_1_3))
           (portRef A (instanceRef q8_6_bm_3))
          ))
          (net (rename q8_6_bm_3 "q8_6_bm[3]") (joined
           (portRef Z (instanceRef q8_6_bm_3))
           (portRef ALUT (instanceRef q8_6_3))
          ))
          (net (rename mul16_w_5 "mul16_w[5]") (joined
           (portRef S0 (instanceRef mul16_w_madd_cry_1_0))
           (portRef A (instanceRef q16_5))
          ))
          (net (rename q16_RNO_0_5 "q16_RNO_0[5]") (joined
           (portRef Z (instanceRef q16_RNO_0_5))
           (portRef C (instanceRef q16_5))
          ))
          (net (rename q16_12_d_13 "q16_12_d[13]") (joined
           (portRef Z (instanceRef q16_12_d_13))
           (portRef A (instanceRef q16_13))
          ))
          (net (rename mul16_w_13 "mul16_w[13]") (joined
           (portRef S0 (instanceRef mul16_w_madd_cry_9_0))
           (portRef B (instanceRef q16_13))
          ))
          (net q16_m2_e_0 (joined
           (portRef Z (instanceRef q16_m2_e_0))
           (portRef C0 (instanceRef q16_12_6))
           (portRef C (instanceRef q16_8))
           (portRef C (instanceRef q16_7))
           (portRef C (instanceRef q16_9))
           (portRef C (instanceRef q16_10))
           (portRef C (instanceRef q16_11))
           (portRef C (instanceRef q16_12))
           (portRef C (instanceRef q16_13))
          ))
          (net (rename q16_12_d_12 "q16_12_d[12]") (joined
           (portRef Z (instanceRef q16_12_d_12))
           (portRef A (instanceRef q16_12))
          ))
          (net (rename mul16_w_12 "mul16_w[12]") (joined
           (portRef S1 (instanceRef mul16_w_madd_cry_7_0))
           (portRef B (instanceRef q16_12))
          ))
          (net (rename q16_12_d_11 "q16_12_d[11]") (joined
           (portRef Z (instanceRef q16_12_d_11))
           (portRef A (instanceRef q16_11))
          ))
          (net (rename mul16_w_11 "mul16_w[11]") (joined
           (portRef S0 (instanceRef mul16_w_madd_cry_7_0))
           (portRef B (instanceRef q16_11))
          ))
          (net (rename q16_12_d_10 "q16_12_d[10]") (joined
           (portRef Z (instanceRef q16_12_d_10))
           (portRef A (instanceRef q16_10))
          ))
          (net (rename mul16_w_10 "mul16_w[10]") (joined
           (portRef S1 (instanceRef mul16_w_madd_cry_5_0))
           (portRef B (instanceRef q16_10))
          ))
          (net (rename q16_12_d_9 "q16_12_d[9]") (joined
           (portRef Z (instanceRef q16_12_d_9))
           (portRef A (instanceRef q16_9))
          ))
          (net (rename mul16_w_9 "mul16_w[9]") (joined
           (portRef S0 (instanceRef mul16_w_madd_cry_5_0))
           (portRef B (instanceRef q16_9))
          ))
          (net (rename q16_12_d_7 "q16_12_d[7]") (joined
           (portRef Z (instanceRef q16_12_d_7))
           (portRef A (instanceRef q16_7))
          ))
          (net (rename q16_12_d_8 "q16_12_d[8]") (joined
           (portRef Z (instanceRef q16_12_d_8))
           (portRef A (instanceRef q16_8))
          ))
          (net (rename mul16_w_8 "mul16_w[8]") (joined
           (portRef S1 (instanceRef mul16_w_madd_cry_3_0))
           (portRef B (instanceRef q16_8))
          ))
          (net N_555 (joined
           (portRef Z (instanceRef q16_3_2))
           (portRef A (instanceRef q16_12_2))
          ))
          (net N_683 (joined
           (portRef Z (instanceRef q16_11_2))
           (portRef B (instanceRef q16_12_2))
          ))
          (net (rename q16_12_am_0 "q16_12_am[0]") (joined
           (portRef Z (instanceRef q16_12_am_0))
           (portRef BLUT (instanceRef q16_12_0))
          ))
          (net N_617 (joined
           (portRef Z (instanceRef q16_7_0))
           (portRef A (instanceRef q16_12_bm_0))
          ))
          (net N_665 (joined
           (portRef Z (instanceRef q16_10_0))
           (portRef B (instanceRef q16_12_bm_0))
          ))
          (net (rename q16_12_bm_0 "q16_12_bm[0]") (joined
           (portRef Z (instanceRef q16_12_bm_0))
           (portRef ALUT (instanceRef q16_12_0))
          ))
          (net N_397 (joined
           (portRef Z (instanceRef q8_13_4))
           (portRef D1 (instanceRef q8_14_4))
          ))
          (net N_349_0 (joined
           (portRef Z (instanceRef q8_7_4))
           (portRef D0 (instanceRef q8_14_4))
          ))
          (net (rename q16_11_d_6 "q16_11_d[6]") (joined
           (portRef Z (instanceRef q16_11_d_6))
           (portRef A (instanceRef q16_12_am_6))
          ))
          (net N_559 (joined
           (portRef Z (instanceRef q16_3_6))
           (portRef B (instanceRef q16_12_am_6))
          ))
          (net (rename q16_12_am_6 "q16_12_am[6]") (joined
           (portRef Z (instanceRef q16_12_am_6))
           (portRef BLUT (instanceRef q16_12_6))
          ))
          (net (rename mul16_w_6 "mul16_w[6]") (joined
           (portRef S1 (instanceRef mul16_w_madd_cry_1_0))
           (portRef A (instanceRef q16_12_bm_6))
          ))
          (net (rename q16_12_bm_6 "q16_12_bm[6]") (joined
           (portRef Z (instanceRef q16_12_bm_6))
           (portRef ALUT (instanceRef q16_12_6))
          ))
          (net (rename daa8h_r_1_3 "daa8h_r_1[3]") (joined
           (portRef Z (instanceRef daa8h_r_1_3))
           (portRef A (instanceRef q8_7_bm_7))
          ))
          (net (rename q16_12_s_14 "q16_12_s[14]") (joined
           (portRef Z (instanceRef q16_12_s_14))
           (portRef A (instanceRef q16_12_d_0_14))
          ))
          (net (rename q16_12_d_14 "q16_12_d[14]") (joined
           (portRef Z (instanceRef q16_12_d_14))
           (portRef B (instanceRef q16_12_d_0_14))
          ))
          (net (rename q16_RNO_2_5 "q16_RNO_2[5]") (joined
           (portRef Z (instanceRef q16_RNO_2_5))
           (portRef BLUT (instanceRef q16_RNO_0_5))
          ))
          (net (rename q16_11_d_5 "q16_11_d[5]") (joined
           (portRef Z (instanceRef q16_11_d_5))
           (portRef A (instanceRef q16_RNO_1_5))
          ))
          (net (rename q16_RNO_1_5 "q16_RNO_1[5]") (joined
           (portRef Z (instanceRef q16_RNO_1_5))
           (portRef ALUT (instanceRef q16_RNO_0_5))
          ))
          (net k_pp_regs_0_sqmuxa_2_7 (joined
           (portRef Z (instanceRef k_pp_regs_0_sqmuxa_2_7))
           (portRef B (instanceRef k_pp_regs_0_sqmuxa_2_7_RNI128O))
           (portRef B (instanceRef k_pp_regs_27_am_0))
          ))
          (net un1_state_53 (joined
           (portRef un1_state_53)
           (portRef D (instanceRef un1_state_25_RNIDQVI2))
           (portRef B (instanceRef k_pp_regs_27_am_1))
           (portRef B (instanceRef k_pp_regs_27_am_2))
           (portRef B (instanceRef k_pp_regs_27_am_3))
           (portRef B (instanceRef k_pp_regs_27_am_4))
           (portRef B (instanceRef k_pp_regs_27_am_5))
           (portRef B (instanceRef k_pp_regs_27_am_6))
           (portRef A (instanceRef k_pp_regs_27_am_7))
           (portRef C (instanceRef k_pp_regs_27_am_0))
           (portRef B (instanceRef un1_state_84))
          ))
          (net (rename k_pp_regs_27_am_0 "k_pp_regs_27_am[0]") (joined
           (portRef Z (instanceRef k_pp_regs_27_am_0))
           (portRef BLUT (instanceRef k_pp_regs_27_0))
          ))
          (net N_1145 (joined
           (portRef Z (instanceRef k_pp_regs_4_sqmuxa_RNI2LEI3))
           (portRef C (instanceRef k_pp_regs_27_bm_2))
           (portRef A (instanceRef k_pp_regs_27_bm_5))
           (portRef A (instanceRef k_pp_regs_27_bm_7))
           (portRef A (instanceRef k_pp_regs_27_bm_0))
          ))
          (net (rename k_pp_regs_0 "k_pp_regs[0]") (joined
           (portRef (member k_pp_regs 7))
           (portRef B (instanceRef k_pp_regs_27_bm_0))
           (portRef D (instanceRef k_pp_regs_3_sqmuxa_1_0_0))
          ))
          (net (rename k_pp_regs_27_bm_0 "k_pp_regs_27_bm[0]") (joined
           (portRef Z (instanceRef k_pp_regs_27_bm_0))
           (portRef ALUT (instanceRef k_pp_regs_27_0))
          ))
          (net N_1155 (joined
           (portRef Z (instanceRef k_pp_regs_0_sqmuxa_2_1_RNI0A648))
           (portRef C0 (instanceRef k_pp_regs_27_1))
           (portRef C0 (instanceRef k_pp_regs_27_2))
           (portRef C0 (instanceRef k_pp_regs_27_3))
           (portRef C0 (instanceRef k_pp_regs_27_4))
           (portRef C0 (instanceRef k_pp_regs_27_5))
           (portRef C0 (instanceRef k_pp_regs_27_6))
           (portRef C0 (instanceRef k_pp_regs_27_7))
           (portRef C0 (instanceRef k_pp_regs_27_0))
          ))
          (net (rename k_pp_regs_27_0 "k_pp_regs_27[0]") (joined
           (portRef Z (instanceRef k_pp_regs_27_0))
           (portRef (member k_pp_regs_27 7))
          ))
          (net (rename q16_11_am_2 "q16_11_am[2]") (joined
           (portRef Z (instanceRef q16_11_am_2))
           (portRef BLUT (instanceRef q16_11_2))
          ))
          (net N_2077 (joined
           (portRef Z (instanceRef q16_9_i_2))
           (portRef A (instanceRef q16_11_bm_2))
          ))
          (net (rename q16_11_bm_2 "q16_11_bm[2]") (joined
           (portRef Z (instanceRef q16_11_bm_2))
           (portRef ALUT (instanceRef q16_11_2))
          ))
          (net N_1440 (joined
           (portRef Z (instanceRef state_23_0_iv_352_o7_1))
           (portRef A (instanceRef state_23_0_iv_352))
          ))
          (net N_1451 (joined
           (portRef N_1451)
           (portRef B (instanceRef state_23_0_iv_352))
          ))
          (net state_23_0_iv_352_2 (joined
           (portRef Z (instanceRef state_23_0_iv_352_2))
           (portRef C (instanceRef state_23_0_iv_352))
          ))
          (net N_1413 (joined
           (portRef Z (instanceRef state_23_0_iv_352))
           (portRef N_1413)
          ))
          (net vneg8_r_6 (joined
           (portRef Z (instanceRef vneg8_r_6))
           (portRef D (instanceRef vneg8_r))
           (portRef A (instanceRef vneg16_r))
          ))
          (net vneg16_r_5 (joined
           (portRef Z (instanceRef vneg16_r_5))
           (portRef B (instanceRef vneg16_r))
          ))
          (net vneg16_r_6 (joined
           (portRef Z (instanceRef vneg16_r_6))
           (portRef C (instanceRef vneg16_r))
          ))
          (net vneg16_r_7 (joined
           (portRef Z (instanceRef vneg16_r_7))
           (portRef D (instanceRef vneg16_r))
          ))
          (net vadc8_r (joined
           (portRef Z (instanceRef vadc8_r))
           (portRef A (instanceRef v8_9))
          ))
          (net (rename k_pp_regs_27_am_7 "k_pp_regs_27_am[7]") (joined
           (portRef Z (instanceRef k_pp_regs_27_am_7))
           (portRef BLUT (instanceRef k_pp_regs_27_7))
          ))
          (net (rename k_pp_regs_7 "k_pp_regs[7]") (joined
           (portRef (member k_pp_regs 0))
           (portRef B (instanceRef k_pp_regs_27_bm_7))
          ))
          (net (rename k_pp_regs_27_bm_7 "k_pp_regs_27_bm[7]") (joined
           (portRef Z (instanceRef k_pp_regs_27_bm_7))
           (portRef ALUT (instanceRef k_pp_regs_27_7))
          ))
          (net (rename k_pp_regs_27_7 "k_pp_regs_27[7]") (joined
           (portRef Z (instanceRef k_pp_regs_27_7))
           (portRef (member k_pp_regs_27 0))
          ))
          (net N_1135 (joined
           (portRef Z (instanceRef k_pp_regs_0_sqmuxa_2_7_RNI128O))
           (portRef A (instanceRef k_pp_regs_0_sqmuxa_2_1_RNI0A648))
           (portRef A (instanceRef k_pp_regs_27_am_1))
           (portRef A (instanceRef k_pp_regs_27_am_2))
           (portRef A (instanceRef k_pp_regs_27_am_3))
           (portRef A (instanceRef k_pp_regs_27_am_4))
           (portRef A (instanceRef k_pp_regs_27_am_5))
           (portRef A (instanceRef k_pp_regs_27_am_6))
          ))
          (net (rename k_pp_regs_27_am_6 "k_pp_regs_27_am[6]") (joined
           (portRef Z (instanceRef k_pp_regs_27_am_6))
           (portRef BLUT (instanceRef k_pp_regs_27_6))
          ))
          (net (rename k_pp_regs_27_bm_6 "k_pp_regs_27_bm[6]") (joined
           (portRef Z (instanceRef k_pp_regs_27_bm_6))
           (portRef ALUT (instanceRef k_pp_regs_27_6))
          ))
          (net (rename k_pp_regs_27_6 "k_pp_regs_27[6]") (joined
           (portRef Z (instanceRef k_pp_regs_27_6))
           (portRef (member k_pp_regs_27 1))
          ))
          (net (rename k_pp_regs_27_am_5 "k_pp_regs_27_am[5]") (joined
           (portRef Z (instanceRef k_pp_regs_27_am_5))
           (portRef BLUT (instanceRef k_pp_regs_27_5))
          ))
          (net (rename k_pp_regs_5 "k_pp_regs[5]") (joined
           (portRef (member k_pp_regs 2))
           (portRef B (instanceRef k_pp_regs_27_bm_5))
          ))
          (net k_pp_regs_27_sn_N_8 (joined
           (portRef k_pp_regs_27_sn_N_8)
           (portRef C (instanceRef k_pp_regs_27_bm_5))
           (portRef C (instanceRef k_pp_regs_27_bm_7))
          ))
          (net un1_k_pp_regs_2_sqmuxa (joined
           (portRef un1_k_pp_regs_2_sqmuxa)
           (portRef D (instanceRef k_pp_regs_27_bm_5))
           (portRef D (instanceRef k_pp_regs_27_bm_7))
          ))
          (net (rename k_pp_regs_27_bm_5 "k_pp_regs_27_bm[5]") (joined
           (portRef Z (instanceRef k_pp_regs_27_bm_5))
           (portRef ALUT (instanceRef k_pp_regs_27_5))
          ))
          (net (rename k_pp_regs_27_5 "k_pp_regs_27[5]") (joined
           (portRef Z (instanceRef k_pp_regs_27_5))
           (portRef (member k_pp_regs_27 2))
          ))
          (net (rename k_pp_regs_27_am_4 "k_pp_regs_27_am[4]") (joined
           (portRef Z (instanceRef k_pp_regs_27_am_4))
           (portRef BLUT (instanceRef k_pp_regs_27_4))
          ))
          (net N_1123 (joined
           (portRef Z (instanceRef k_pp_regs_4_sqmuxa_RNI05GT2))
           (portRef C (instanceRef k_pp_regs_27_bm_6))
           (portRef A (instanceRef k_pp_regs_4_sqmuxa_RNI2LEI3))
           (portRef A (instanceRef k_pp_regs_27_bm_3))
           (portRef A (instanceRef k_pp_regs_27_bm_4))
          ))
          (net (rename k_pp_regs_4 "k_pp_regs[4]") (joined
           (portRef (member k_pp_regs 3))
           (portRef B (instanceRef k_pp_regs_27_bm_4))
          ))
          (net (rename k_pp_regs_27_bm_4 "k_pp_regs_27_bm[4]") (joined
           (portRef Z (instanceRef k_pp_regs_27_bm_4))
           (portRef ALUT (instanceRef k_pp_regs_27_4))
          ))
          (net (rename k_pp_regs_27_4 "k_pp_regs_27[4]") (joined
           (portRef Z (instanceRef k_pp_regs_27_4))
           (portRef (member k_pp_regs_27 3))
          ))
          (net (rename k_pp_regs_27_am_3 "k_pp_regs_27_am[3]") (joined
           (portRef Z (instanceRef k_pp_regs_27_am_3))
           (portRef BLUT (instanceRef k_pp_regs_27_3))
          ))
          (net (rename k_pp_regs_3 "k_pp_regs[3]") (joined
           (portRef (member k_pp_regs 4))
           (portRef B (instanceRef k_pp_regs_4_sqmuxa))
           (portRef B (instanceRef k_pp_regs_27_bm_3))
           (portRef A (instanceRef k_pp_regs_3_sqmuxa_1_0_0))
          ))
          (net (rename k_pp_regs_27_bm_3 "k_pp_regs_27_bm[3]") (joined
           (portRef Z (instanceRef k_pp_regs_27_bm_3))
           (portRef ALUT (instanceRef k_pp_regs_27_3))
          ))
          (net (rename k_pp_regs_27_3 "k_pp_regs_27[3]") (joined
           (portRef Z (instanceRef k_pp_regs_27_3))
           (portRef (member k_pp_regs_27 4))
          ))
          (net (rename k_pp_regs_27_am_2 "k_pp_regs_27_am[2]") (joined
           (portRef Z (instanceRef k_pp_regs_27_am_2))
           (portRef BLUT (instanceRef k_pp_regs_27_2))
          ))
          (net (rename k_pp_regs_27_bm_2 "k_pp_regs_27_bm[2]") (joined
           (portRef Z (instanceRef k_pp_regs_27_bm_2))
           (portRef ALUT (instanceRef k_pp_regs_27_2))
          ))
          (net (rename k_pp_regs_27_2 "k_pp_regs_27[2]") (joined
           (portRef Z (instanceRef k_pp_regs_27_2))
           (portRef (member k_pp_regs_27 5))
          ))
          (net (rename k_pp_regs_27_am_1 "k_pp_regs_27_am[1]") (joined
           (portRef Z (instanceRef k_pp_regs_27_am_1))
           (portRef BLUT (instanceRef k_pp_regs_27_1))
          ))
          (net (rename k_pp_regs_1 "k_pp_regs[1]") (joined
           (portRef (member k_pp_regs 6))
           (portRef A (instanceRef k_pp_regs_27_bm_1))
           (portRef C (instanceRef k_pp_regs_3_sqmuxa_1_0_0))
          ))
          (net un1_k_pp_regs_6_sqmuxa (joined
           (portRef un1_k_pp_regs_6_sqmuxa)
           (portRef C (instanceRef k_pp_regs_4_sqmuxa_RNI05GT2))
           (portRef B (instanceRef k_pp_regs_27_bm_1))
           (portRef C (instanceRef k_pp_regs_27_bm_3))
          ))
          (net (rename k_pp_regs_27_bm_1 "k_pp_regs_27_bm[1]") (joined
           (portRef Z (instanceRef k_pp_regs_27_bm_1))
           (portRef ALUT (instanceRef k_pp_regs_27_1))
          ))
          (net (rename k_pp_regs_27_1 "k_pp_regs_27[1]") (joined
           (portRef Z (instanceRef k_pp_regs_27_1))
           (portRef (member k_pp_regs_27 6))
          ))
          (net N_556 (joined
           (portRef Z (instanceRef q16_3_3))
           (portRef A (instanceRef q16_12_3))
          ))
          (net N_684 (joined
           (portRef Z (instanceRef q16_11_3))
           (portRef B (instanceRef q16_12_3))
          ))
          (net (rename regs_o_CCR_5 "regs_o_CCR[5]") (joined
           (portRef regs_o_CCR_5)
           (portRef D (instanceRef daa8l_r_1_1))
           (portRef D (instanceRef daa8l_r_1_3))
           (portRef D (instanceRef daa8l_r_1_2))
           (portRef D (instanceRef hflag_pipe_2))
          ))
          (net N_557 (joined
           (portRef Z (instanceRef q16_3_4))
           (portRef A (instanceRef q16_12_4))
          ))
          (net N_685 (joined
           (portRef Z (instanceRef q16_11_4))
           (portRef B (instanceRef q16_12_4))
          ))
          (net N_309 (joined
           (portRef Z (instanceRef q8_2_4))
           (portRef A (instanceRef q8_7_am_4))
          ))
          (net (rename q8_7_am_4 "q8_7_am[4]") (joined
           (portRef Z (instanceRef q8_7_am_4))
           (portRef BLUT (instanceRef q8_7_4))
          ))
          (net (rename q8_7_bm_4 "q8_7_bm[4]") (joined
           (portRef Z (instanceRef q8_7_bm_4))
           (portRef ALUT (instanceRef q8_7_4))
          ))
          (net (rename q16_11_d_13 "q16_11_d[13]") (joined
           (portRef Z (instanceRef q16_11_d_13))
           (portRef A (instanceRef q16_12_d_13))
          ))
          (net N_566 (joined
           (portRef Z (instanceRef q16_3_13))
           (portRef B (instanceRef q16_12_d_13))
          ))
          (net (rename q16_11_d_12 "q16_11_d[12]") (joined
           (portRef Z (instanceRef q16_11_d_12))
           (portRef A (instanceRef q16_12_d_12))
          ))
          (net N_565 (joined
           (portRef Z (instanceRef q16_3_12))
           (portRef B (instanceRef q16_12_d_12))
          ))
          (net (rename q16_11_d_11 "q16_11_d[11]") (joined
           (portRef Z (instanceRef q16_11_d_11))
           (portRef A (instanceRef q16_12_d_11))
          ))
          (net N_564 (joined
           (portRef Z (instanceRef q16_3_11))
           (portRef B (instanceRef q16_12_d_11))
          ))
          (net (rename q16_11_d_10 "q16_11_d[10]") (joined
           (portRef Z (instanceRef q16_11_d_10))
           (portRef A (instanceRef q16_12_d_10))
          ))
          (net N_563 (joined
           (portRef Z (instanceRef q16_3_10))
           (portRef B (instanceRef q16_12_d_10))
          ))
          (net (rename q16_11_d_9 "q16_11_d[9]") (joined
           (portRef Z (instanceRef q16_11_d_9))
           (portRef A (instanceRef q16_12_d_9))
          ))
          (net N_562 (joined
           (portRef Z (instanceRef q16_3_9))
           (portRef B (instanceRef q16_12_d_9))
          ))
          (net (rename q16_11_d_7 "q16_11_d[7]") (joined
           (portRef Z (instanceRef q16_11_d_7))
           (portRef A (instanceRef q16_12_d_7))
          ))
          (net N_560 (joined
           (portRef Z (instanceRef q16_3_7))
           (portRef B (instanceRef q16_12_d_7))
          ))
          (net (rename q16_11_d_8 "q16_11_d[8]") (joined
           (portRef Z (instanceRef q16_11_d_8))
           (portRef A (instanceRef q16_12_d_8))
          ))
          (net N_561 (joined
           (portRef Z (instanceRef q16_3_8))
           (portRef B (instanceRef q16_12_d_8))
          ))
          (net (rename datamux_o_alu_in_left_path_data_15 "datamux_o_alu_in_left_path_data[15]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_data_15))
           (portRef A0 (instanceRef sbc16_w_cry_15_0))
           (portRef C0 (instanceRef sub16_w_cry_15_0))
           (portRef A0 (instanceRef adc16_w_s_15_0))
           (portRef A0 (instanceRef add16_w_cry_15_0))
           (portRef A (instanceRef q16_16_15))
           (portRef B (instanceRef vadd16_r))
           (portRef C (instanceRef vadc16_r))
           (portRef C (instanceRef vsub16_r))
           (portRef B (instanceRef vsbc16_r))
           (portRef A (instanceRef q16_25_bm_15))
           (portRef B (instanceRef q16_25_am_15))
           (portRef C (instanceRef q16_12_d_0_14))
           (portRef datamux_o_alu_in_left_path_data_15)
          ))
          (net (rename q16_25_am_15 "q16_25_am[15]") (joined
           (portRef Z (instanceRef q16_25_am_15))
           (portRef BLUT (instanceRef q16_25_15))
          ))
          (net (rename q16_25_bm_15 "q16_25_bm[15]") (joined
           (portRef Z (instanceRef q16_25_bm_15))
           (portRef ALUT (instanceRef q16_25_15))
          ))
          (net mul16_w_madd_0_0_a (joined
           (portRef Z (instanceRef mul16_w_madd_0_0_a))
           (portRef A (instanceRef regq16_pipe_185_RNO))
          ))
          (net N_305 (joined
           (portRef Z (instanceRef q8_2_0))
           (portRef A (instanceRef q8_3_0))
          ))
          (net N_567 (joined
           (portRef Z (instanceRef q16_3_14))
           (portRef A (instanceRef q16_12_d_am_14))
          ))
          (net (rename q16_12_d_am_14 "q16_12_d_am[14]") (joined
           (portRef Z (instanceRef q16_12_d_am_14))
           (portRef BLUT (instanceRef q16_12_d_14))
          ))
          (net (rename neg16_w_14 "neg16_w[14]") (joined
           (portRef S1 (instanceRef neg16_w_cry_13_0))
           (portRef A (instanceRef cneg16_r_13_2))
           (portRef C (instanceRef vneg16_r_7))
           (portRef A (instanceRef q16_12_d_bm_14))
          ))
          (net (rename datamux_o_alu_in_left_path_data_13 "datamux_o_alu_in_left_path_data[13]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_data_13))
           (portRef A0 (instanceRef sbc16_w_cry_13_0))
           (portRef C0 (instanceRef sub16_w_cry_13_0))
           (portRef A0 (instanceRef adc16_w_cry_13_0))
           (portRef A0 (instanceRef add16_w_cry_13_0))
           (portRef A0 (instanceRef neg16_w_cry_13_0))
           (portRef A (instanceRef q16_16_13))
           (portRef A (instanceRef q16_11_d_bm_12))
           (portRef B (instanceRef q16_12_d_bm_14))
          ))
          (net (rename q16_12_d_bm_14 "q16_12_d_bm[14]") (joined
           (portRef Z (instanceRef q16_12_d_bm_14))
           (portRef ALUT (instanceRef q16_12_d_14))
          ))
          (net next_mem_state_1_sqmuxa (joined
           (portRef Z (instanceRef next_mem_state_1_sqmuxa))
           (portRef B (instanceRef next_mem_state_1_sqmuxa_RNINPLR4))
          ))
          (net (rename next_mem_state_cnv_2_0 "next_mem_state_cnv_2[0]") (joined
           (portRef Z (instanceRef un1_state_87_1_RNI01C14))
           (portRef C (instanceRef next_mem_state_1_sqmuxa_RNINPLR4))
          ))
          (net next_mem_state_1_sqmuxa_RNINPLR4 (joined
           (portRef Z (instanceRef next_mem_state_1_sqmuxa_RNINPLR4))
           (portRef next_mem_state_1_sqmuxa_RNINPLR4)
          ))
          (net daa_lnm9 (joined
           (portRef Z (instanceRef daa_lnm9_ac0_5))
           (portRef A (instanceRef daa8h_r))
          ))
          (net (rename datamux_o_alu_in_left_path_data_7 "datamux_o_alu_in_left_path_data[7]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_data_7))
           (portRef B1 (instanceRef mul16_w_madd_3_cry_1_0))
           (portRef A1 (instanceRef mul16_w_madd_3_cry_2_0))
           (portRef A0 (instanceRef mul16_w_madd_3_cry_2_0))
           (portRef A1 (instanceRef mul16_w_madd_3_cry_4_0))
           (portRef A0 (instanceRef mul16_w_madd_3_cry_4_0))
           (portRef A1 (instanceRef mul16_w_madd_3_cry_6_0))
           (portRef A0 (instanceRef mul16_w_madd_3_cry_6_0))
           (portRef A0 (instanceRef mul16_w_madd_3_cry_8_0))
           (portRef A0 (instanceRef sbc8_w_cry_7_0))
           (portRef A0 (instanceRef sbc16_w_cry_7_0))
           (portRef B0 (instanceRef sub16_w_cry_7_0))
           (portRef A0 (instanceRef adc16_w_cry_7_0))
           (portRef A0 (instanceRef add8_w_cry_7_0))
           (portRef C0 (instanceRef sub8_w_cry_7_0))
           (portRef A0 (instanceRef add16_w_cry_7_0))
           (portRef A0 (instanceRef neg16_w_cry_7_0))
           (portRef A (instanceRef q8_7_bm_1_7))
           (portRef B (instanceRef q8_22_6))
           (portRef A (instanceRef q8_9_6))
           (portRef C (instanceRef vasr8_r))
           (portRef C (instanceRef un3_daa8h_r_ac0_5))
           (portRef A (instanceRef q16_18_7))
           (portRef B (instanceRef vsub8_r))
           (portRef C (instanceRef vadc8_r))
           (portRef B (instanceRef vadd8_r))
           (portRef B (instanceRef q16_11_d_am_8))
           (portRef A (instanceRef q16_25_bm_6))
           (portRef B (instanceRef q8_3_bm_6))
           (portRef A (instanceRef q8_13_bm_7))
           (portRef B (instanceRef q16_25_am_7))
           (portRef C (instanceRef daa8h_r))
           (portRef D (instanceRef daa8h_r_1_3))
           (portRef datamux_o_alu_in_left_path_data_7)
          ))
          (net (rename regs_o_CCR_0 "regs_o_CCR[0]") (joined
           (portRef regs_o_CCR_0)
           (portRef B0 (instanceRef adc16_w_cry_0_0))
           (portRef C (instanceRef q8_9_7))
           (portRef C (instanceRef vror16_r))
           (portRef D (instanceRef q16_7_0))
           (portRef D (instanceRef daa8h_r))
           (portRef D (instanceRef q16_25_bm_15))
          ))
          (net N_167 (joined
           (portRef N_167)
           (portRef A (instanceRef k_cpu_data_o_7_am_0))
          ))
          (net (rename k_cpu_data_o_7_am_0 "k_cpu_data_o_7_am[0]") (joined
           (portRef Z (instanceRef k_cpu_data_o_7_am_0))
           (portRef BLUT (instanceRef k_cpu_data_o_7_0))
          ))
          (net (rename k_cpu_data_o_7_bm_0 "k_cpu_data_o_7_bm[0]") (joined
           (portRef Z (instanceRef k_cpu_data_o_7_bm_0))
           (portRef ALUT (instanceRef k_cpu_data_o_7_0))
          ))
          (net (rename k_cpu_data_o_7_0 "k_cpu_data_o_7[0]") (joined
           (portRef Z (instanceRef k_cpu_data_o_7_0))
           (portRef (member k_cpu_data_o_7 7))
          ))
          (net N_363 (joined
           (portRef Z (instanceRef q8_9_2))
           (portRef A (instanceRef q8_13_am_2))
          ))
          (net (rename q8_13_am_2 "q8_13_am[2]") (joined
           (portRef Z (instanceRef q8_13_am_2))
           (portRef BLUT (instanceRef q8_13_2))
          ))
          (net (rename q8_13_bm_2 "q8_13_bm[2]") (joined
           (portRef Z (instanceRef q8_13_bm_2))
           (portRef ALUT (instanceRef q8_13_2))
          ))
          (net N_367 (joined
           (portRef Z (instanceRef q8_9_6))
           (portRef A (instanceRef q8_13_am_6))
          ))
          (net (rename q8_13_am_6 "q8_13_am[6]") (joined
           (portRef Z (instanceRef q8_13_am_6))
           (portRef BLUT (instanceRef q8_13_6))
          ))
          (net (rename q8_13_bm_6 "q8_13_bm[6]") (joined
           (portRef Z (instanceRef q8_13_bm_6))
           (portRef ALUT (instanceRef q8_13_6))
          ))
          (net N_364 (joined
           (portRef Z (instanceRef q8_9_3))
           (portRef A (instanceRef q8_13_am_3))
          ))
          (net (rename q8_13_am_3 "q8_13_am[3]") (joined
           (portRef Z (instanceRef q8_13_am_3))
           (portRef BLUT (instanceRef q8_13_3))
          ))
          (net (rename q8_13_bm_3 "q8_13_bm[3]") (joined
           (portRef Z (instanceRef q8_13_bm_3))
           (portRef ALUT (instanceRef q8_13_3))
          ))
          (net N_366 (joined
           (portRef Z (instanceRef q8_9_5))
           (portRef A (instanceRef q8_13_am_5))
          ))
          (net (rename q8_13_am_5 "q8_13_am[5]") (joined
           (portRef Z (instanceRef q8_13_am_5))
           (portRef BLUT (instanceRef q8_13_5))
          ))
          (net (rename q8_13_bm_5 "q8_13_bm[5]") (joined
           (portRef Z (instanceRef q8_13_bm_5))
           (portRef ALUT (instanceRef q8_13_5))
          ))
          (net N_365 (joined
           (portRef Z (instanceRef q8_9_4))
           (portRef A (instanceRef q8_13_am_4))
          ))
          (net (rename q8_13_am_4 "q8_13_am[4]") (joined
           (portRef Z (instanceRef q8_13_am_4))
           (portRef BLUT (instanceRef q8_13_4))
          ))
          (net (rename datamux_o_alu_in_right_path_data_4 "datamux_o_alu_in_right_path_data[4]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_right_path_data_4))
           (portRef B1 (instanceRef mul16_w_madd_3_cry_4_0))
           (portRef D0 (instanceRef mul16_w_madd_3_cry_4_0))
           (portRef B1 (instanceRef mul16_w_madd_2_cry_4_0))
           (portRef D0 (instanceRef mul16_w_madd_2_cry_4_0))
           (portRef B1 (instanceRef mul16_w_madd_1_cry_4_0))
           (portRef D0 (instanceRef mul16_w_madd_1_cry_4_0))
           (portRef B1 (instanceRef mul16_w_madd_0_cry_3_0))
           (portRef D0 (instanceRef mul16_w_madd_0_cry_3_0))
           (portRef B1 (instanceRef sbc8_w_cry_3_0))
           (portRef B1 (instanceRef sbc16_w_cry_3_0))
           (portRef A1 (instanceRef sub16_w_cry_3_0))
           (portRef B1 (instanceRef adc16_w_cry_3_0))
           (portRef B1 (instanceRef add8_w_cry_3_0))
           (portRef B1 (instanceRef sub8_w_cry_3_0))
           (portRef B1 (instanceRef add16_w_cry_3_0))
           (portRef B (instanceRef q8_7_bm_1_4))
           (portRef B (instanceRef q8_9_4))
           (portRef C (instanceRef q8_2_4))
           (portRef B (instanceRef q16_18_4))
           (portRef B (instanceRef q16_25_bm_4))
           (portRef B (instanceRef q8_13_bm_4))
          ))
          (net (rename q8_13_bm_4 "q8_13_bm[4]") (joined
           (portRef Z (instanceRef q8_13_bm_4))
           (portRef ALUT (instanceRef q8_13_4))
          ))
          (net CCRo_sn_N_2 (joined
           (portRef Z (instanceRef CCRo19_0_a2_RNIO48BD))
           (portRef D (instanceRef hflag_pipe_3))
           (portRef CCRo_sn_N_2)
          ))
          (net (rename datamux_o_alu_in_right_path_data_15 "datamux_o_alu_in_right_path_data[15]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_right_path_data_15))
           (portRef B0 (instanceRef sbc16_w_cry_15_0))
           (portRef B0 (instanceRef sub16_w_cry_15_0))
           (portRef B0 (instanceRef adc16_w_s_15_0))
           (portRef B0 (instanceRef add16_w_cry_15_0))
           (portRef B (instanceRef q16_16_15))
           (portRef B (instanceRef q8_19_7))
           (portRef C (instanceRef vadd16_r))
           (portRef D (instanceRef vadc16_r))
           (portRef D (instanceRef vsub16_r))
           (portRef C (instanceRef vsbc16_r))
          ))
          (net (rename adc16_w_15 "adc16_w[15]") (joined
           (portRef S0 (instanceRef adc16_w_s_15_0))
           (portRef A (instanceRef vadc16_r))
          ))
          (net un1_state_95_0 (joined
           (portRef Z (instanceRef un1_state_95_0_0))
           (portRef A (instanceRef next_mem_state_1_sqmuxa))
          ))
          (net k_pp_regs59 (joined
           (portRef k_pp_regs59)
           (portRef A (instanceRef un1_state_87_1_RNI01C14))
           (portRef B (instanceRef next_mem_state_1_sqmuxa))
           (portRef A (instanceRef next_mem_state_1_sqmuxa_RNINPLR4))
          ))
          (net (rename q16_25_am_7 "q16_25_am[7]") (joined
           (portRef Z (instanceRef q16_25_am_7))
           (portRef BLUT (instanceRef q16_25_7))
          ))
          (net N_784 (joined
           (portRef Z (instanceRef q16_17_0_a3_7))
           (portRef B (instanceRef q16_18_8))
           (portRef B (instanceRef q16_18_14))
           (portRef B (instanceRef q16_18_15))
           (portRef B (instanceRef q16_18_13))
           (portRef B (instanceRef q16_18_12))
           (portRef B (instanceRef q16_18_11))
           (portRef B (instanceRef q16_18_10))
           (portRef A (instanceRef q16_25_bm_7))
          ))
          (net (rename datamux_o_alu_in_left_path_data_8 "datamux_o_alu_in_left_path_data[8]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_data_8))
           (portRef A1 (instanceRef sbc16_w_cry_7_0))
           (portRef C1 (instanceRef sub16_w_cry_7_0))
           (portRef A1 (instanceRef adc16_w_cry_7_0))
           (portRef A1 (instanceRef add16_w_cry_7_0))
           (portRef A1 (instanceRef neg16_w_cry_7_0))
           (portRef A (instanceRef q16_16_8))
           (portRef A (instanceRef q16_25_am_8))
           (portRef A (instanceRef q16_11_d_bm_7))
           (portRef B (instanceRef q16_11_d_am_9))
           (portRef B (instanceRef q16_25_bm_7))
          ))
          (net (rename q16_25_bm_7 "q16_25_bm[7]") (joined
           (portRef Z (instanceRef q16_25_bm_7))
           (portRef ALUT (instanceRef q16_25_7))
          ))
          (net N_1765_i (joined
           (portRef N_1765_i)
           (portRef A1 (instanceRef mul16_w_madd_3_cry_1_0))
           (portRef A1 (instanceRef mul16_w_madd_2_cry_1_0))
           (portRef A1 (instanceRef mul16_w_madd_1_cry_1_0))
           (portRef A1 (instanceRef mul16_w_madd_0_cry_0_0))
           (portRef A1 (instanceRef mul16_w_madd_4_cry_0_0))
           (portRef A1 (instanceRef mul16_w_madd_4_cry_1_0))
           (portRef A1 (instanceRef mul16_w_madd_4_cry_3_0))
           (portRef A1 (instanceRef sub16_w_cry_0_0))
           (portRef C1 (instanceRef adc16_w_cry_0_0))
           (portRef C1 (instanceRef add8_w_cry_0_0))
           (portRef A1 (instanceRef sub8_w_cry_0_0))
           (portRef C1 (instanceRef add16_w_cry_0_0))
           (portRef A (instanceRef mul16_w_madd_3_1_a))
           (portRef A (instanceRef mul16_w_madd_2_1_a))
           (portRef A (instanceRef mul16_w_madd_0_0_a))
           (portRef A (instanceRef q8_17_0))
           (portRef A (instanceRef q8_16_0_a3_0))
           (portRef A (instanceRef q16_9_i_2))
           (portRef A (instanceRef q8_4_0_a3_0))
           (portRef A (instanceRef q8_2_0))
           (portRef A (instanceRef q16_10_bm_0))
           (portRef A (instanceRef q16_25_bm_0))
           (portRef A (instanceRef q16_18_0))
           (portRef A (instanceRef regq8_pipe_81_RNO))
           (portRef A (instanceRef regq16_pipe_169_RNO))
           (portRef A (instanceRef q16_12_am_0))
          ))
          (net (rename q16_25_am_0 "q16_25_am[0]") (joined
           (portRef Z (instanceRef q16_25_am_0))
           (portRef BLUT (instanceRef q16_25_0))
          ))
          (net (rename q16_25_bm_0 "q16_25_bm[0]") (joined
           (portRef Z (instanceRef q16_25_bm_0))
           (portRef ALUT (instanceRef q16_25_0))
          ))
          (net (rename datamux_o_alu_in_left_path_data_9 "datamux_o_alu_in_left_path_data[9]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_data_9))
           (portRef A0 (instanceRef sbc16_w_cry_9_0))
           (portRef C0 (instanceRef sub16_w_cry_9_0))
           (portRef A0 (instanceRef adc16_w_cry_9_0))
           (portRef A0 (instanceRef add16_w_cry_9_0))
           (portRef A0 (instanceRef neg16_w_cry_9_0))
           (portRef B (instanceRef q16_11_d_am_10))
           (portRef A (instanceRef q16_18_am_9))
          ))
          (net (rename datamux_o_alu_in_right_path_data_9 "datamux_o_alu_in_right_path_data[9]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_right_path_data_9))
           (portRef B0 (instanceRef sbc16_w_cry_9_0))
           (portRef B0 (instanceRef sub16_w_cry_9_0))
           (portRef B0 (instanceRef adc16_w_cry_9_0))
           (portRef B0 (instanceRef add16_w_cry_9_0))
           (portRef A (instanceRef q8_18_0_a3_1))
           (portRef B (instanceRef q16_18_am_9))
          ))
          (net (rename q16_18_am_9 "q16_18_am[9]") (joined
           (portRef Z (instanceRef q16_18_am_9))
           (portRef BLUT (instanceRef q16_18_9))
          ))
          (net N_1005 (joined
           (portRef Z (instanceRef datamux_o_alu_in_right_path_data_1_7))
           (portRef A (instanceRef datamux_o_alu_in_right_path_data_7))
           (portRef A (instanceRef sub8_w_cry_7_0_RNO))
           (portRef A (instanceRef vsbc8_r))
           (portRef A (instanceRef q16_17_0_a3_7))
           (portRef A (instanceRef q16_18_bm_9))
          ))
          (net (rename q16_18_bm_9 "q16_18_bm[9]") (joined
           (portRef Z (instanceRef q16_18_bm_9))
           (portRef ALUT (instanceRef q16_18_9))
          ))
          (net N_771 (joined
           (portRef Z (instanceRef q16_16_10))
           (portRef A (instanceRef q16_18_10))
          ))
          (net N_772 (joined
           (portRef Z (instanceRef q16_16_11))
           (portRef A (instanceRef q16_18_11))
          ))
          (net N_773 (joined
           (portRef Z (instanceRef q16_16_12))
           (portRef A (instanceRef q16_18_12))
          ))
          (net N_774 (joined
           (portRef Z (instanceRef q16_16_13))
           (portRef A (instanceRef q16_18_13))
          ))
          (net (rename q16_11_am_3 "q16_11_am[3]") (joined
           (portRef Z (instanceRef q16_11_am_3))
           (portRef BLUT (instanceRef q16_11_3))
          ))
          (net (rename mul16_w_3 "mul16_w[3]") (joined
           (portRef S0 (instanceRef mul16_w_madd_4_cry_1_0))
           (portRef A (instanceRef q16_11_bm_3))
          ))
          (net (rename q16_11_bm_3 "q16_11_bm[3]") (joined
           (portRef Z (instanceRef q16_11_bm_3))
           (portRef ALUT (instanceRef q16_11_3))
          ))
          (net N_776 (joined
           (portRef Z (instanceRef q16_16_15))
           (portRef A (instanceRef q16_18_15))
          ))
          (net N_368 (joined
           (portRef Z (instanceRef q8_9_7))
           (portRef A (instanceRef q8_13_am_7))
          ))
          (net (rename sbc16_w_7 "sbc16_w[7]") (joined
           (portRef S0 (instanceRef sbc16_w_cry_7_0))
           (portRef C (instanceRef vsbc8_r))
           (portRef B (instanceRef q16_13_7))
           (portRef B (instanceRef q8_13_am_7))
          ))
          (net (rename q8_13_am_7 "q8_13_am[7]") (joined
           (portRef Z (instanceRef q8_13_am_7))
           (portRef BLUT (instanceRef q8_13_7))
          ))
          (net (rename datamux_o_alu_in_right_path_data_7 "datamux_o_alu_in_right_path_data[7]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_right_path_data_7))
           (portRef D1 (instanceRef mul16_w_madd_3_cry_6_0))
           (portRef B0 (instanceRef mul16_w_madd_3_cry_8_0))
           (portRef D1 (instanceRef mul16_w_madd_2_cry_6_0))
           (portRef B0 (instanceRef mul16_w_madd_2_cry_8_0))
           (portRef D1 (instanceRef mul16_w_madd_1_cry_6_0))
           (portRef B0 (instanceRef mul16_w_madd_1_cry_8_0))
           (portRef D1 (instanceRef mul16_w_madd_0_cry_5_0))
           (portRef B0 (instanceRef mul16_w_madd_0_cry_7_0))
           (portRef B0 (instanceRef sbc8_w_cry_7_0))
           (portRef B0 (instanceRef sbc16_w_cry_7_0))
           (portRef A0 (instanceRef sub16_w_cry_7_0))
           (portRef B0 (instanceRef adc16_w_cry_7_0))
           (portRef B0 (instanceRef add8_w_cry_7_0))
           (portRef B0 (instanceRef sub8_w_cry_7_0))
           (portRef B0 (instanceRef add16_w_cry_7_0))
           (portRef B (instanceRef q8_7_bm_1_7))
           (portRef C (instanceRef mul16_w_madd_3_8_d))
           (portRef A (instanceRef q8_9_7))
           (portRef B (instanceRef q16_18_7))
           (portRef C (instanceRef vsub8_r))
           (portRef D (instanceRef vadc8_r))
           (portRef C (instanceRef vadd8_r))
           (portRef B (instanceRef q8_13_bm_7))
          ))
          (net (rename q8_13_bm_7 "q8_13_bm[7]") (joined
           (portRef Z (instanceRef q8_13_bm_7))
           (portRef ALUT (instanceRef q8_13_7))
          ))
          (net (rename q8_3_am_6 "q8_3_am[6]") (joined
           (portRef Z (instanceRef q8_3_am_6))
           (portRef BLUT (instanceRef q8_3_6))
          ))
          (net (rename q8_3_bm_6 "q8_3_bm[6]") (joined
           (portRef Z (instanceRef q8_3_bm_6))
           (portRef ALUT (instanceRef q8_3_6))
          ))
          (net (rename q8_3_am_5 "q8_3_am[5]") (joined
           (portRef Z (instanceRef q8_3_am_5))
           (portRef BLUT (instanceRef q8_3_5))
          ))
          (net (rename q8_3_bm_5 "q8_3_bm[5]") (joined
           (portRef Z (instanceRef q8_3_bm_5))
           (portRef ALUT (instanceRef q8_3_5))
          ))
          (net (rename neg16_w_1 "neg16_w[2]") (joined
           (portRef S1 (instanceRef neg16_w_cry_1_0))
           (portRef A (instanceRef vneg16_r_5))
           (portRef A (instanceRef vneg8_r))
           (portRef A (instanceRef q8_3_am_2))
           (portRef A (instanceRef q16_11_am_2))
           (portRef neg16_w_1)
          ))
          (net (rename q8_3_am_2 "q8_3_am[2]") (joined
           (portRef Z (instanceRef q8_3_am_2))
           (portRef BLUT (instanceRef q8_3_2))
          ))
          (net (rename q8_3_bm_2 "q8_3_bm[2]") (joined
           (portRef Z (instanceRef q8_3_bm_2))
           (portRef ALUT (instanceRef q8_3_2))
          ))
          (net (rename neg16_w_3 "neg16_w[4]") (joined
           (portRef S1 (instanceRef neg16_w_cry_3_0))
           (portRef B (instanceRef vneg8_r_6))
           (portRef A (instanceRef q16_11_am_4))
           (portRef B (instanceRef q8_7_am_4))
           (portRef neg16_w_3)
          ))
          (net (rename q16_11_am_4 "q16_11_am[4]") (joined
           (portRef Z (instanceRef q16_11_am_4))
           (portRef BLUT (instanceRef q16_11_4))
          ))
          (net (rename mul16_wZ0Z_4 "mul16_w[4]") (joined
           (portRef Z (instanceRef mul16_w_madd_axb_0))
           (portRef A (instanceRef q16_11_bm_4))
          ))
          (net (rename q16_11_bm_4 "q16_11_bm[4]") (joined
           (portRef Z (instanceRef q16_11_bm_4))
           (portRef ALUT (instanceRef q16_11_4))
          ))
          (net (rename q16_25_am_2 "q16_25_am[2]") (joined
           (portRef Z (instanceRef q16_25_am_2))
           (portRef BLUT (instanceRef q16_25_2))
          ))
          (net (rename q16_25_bm_2 "q16_25_bm[2]") (joined
           (portRef Z (instanceRef q16_25_bm_2))
           (portRef ALUT (instanceRef q16_25_2))
          ))
          (net (rename q16_25_am_6 "q16_25_am[6]") (joined
           (portRef Z (instanceRef q16_25_am_6))
           (portRef BLUT (instanceRef q16_25_6))
          ))
          (net (rename q16_25_bm_6 "q16_25_bm[6]") (joined
           (portRef Z (instanceRef q16_25_bm_6))
           (portRef ALUT (instanceRef q16_25_6))
          ))
          (net (rename q16_25_am_5 "q16_25_am[5]") (joined
           (portRef Z (instanceRef q16_25_am_5))
           (portRef BLUT (instanceRef q16_25_5))
          ))
          (net (rename q16_25_bm_5 "q16_25_bm[5]") (joined
           (portRef Z (instanceRef q16_25_bm_5))
           (portRef ALUT (instanceRef q16_25_5))
          ))
          (net (rename q16_25_am_4 "q16_25_am[4]") (joined
           (portRef Z (instanceRef q16_25_am_4))
           (portRef BLUT (instanceRef q16_25_4))
          ))
          (net (rename q16_25_bm_4 "q16_25_bm[4]") (joined
           (portRef Z (instanceRef q16_25_bm_4))
           (portRef ALUT (instanceRef q16_25_4))
          ))
          (net (rename neg16_w_2 "neg16_w[3]") (joined
           (portRef S0 (instanceRef neg16_w_cry_3_0))
           (portRef A (instanceRef vneg8_r_6))
           (portRef A (instanceRef q8_3_am_3))
           (portRef A (instanceRef q16_11_am_3))
           (portRef neg16_w_2)
          ))
          (net (rename q8_3_am_3 "q8_3_am[3]") (joined
           (portRef Z (instanceRef q8_3_am_3))
           (portRef BLUT (instanceRef q8_3_3))
          ))
          (net (rename q8_3_bm_3 "q8_3_bm[3]") (joined
           (portRef Z (instanceRef q8_3_bm_3))
           (portRef ALUT (instanceRef q8_3_3))
          ))
          (net (rename q16_25_am_3 "q16_25_am[3]") (joined
           (portRef Z (instanceRef q16_25_am_3))
           (portRef BLUT (instanceRef q16_25_3))
          ))
          (net (rename q16_25_bm_3 "q16_25_bm[3]") (joined
           (portRef Z (instanceRef q16_25_bm_3))
           (portRef ALUT (instanceRef q16_25_3))
          ))
          (net (rename neg16_w_13 "neg16_w[13]") (joined
           (portRef S0 (instanceRef neg16_w_cry_13_0))
           (portRef D (instanceRef cneg16_r_13))
           (portRef B (instanceRef vneg16_r_7))
           (portRef A (instanceRef q16_11_d_am_13))
          ))
          (net (rename datamux_o_alu_in_left_path_data_12 "datamux_o_alu_in_left_path_data[12]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_data_12))
           (portRef A1 (instanceRef sbc16_w_cry_11_0))
           (portRef C1 (instanceRef sub16_w_cry_11_0))
           (portRef A1 (instanceRef adc16_w_cry_11_0))
           (portRef A1 (instanceRef add16_w_cry_11_0))
           (portRef A1 (instanceRef neg16_w_cry_11_0))
           (portRef A (instanceRef q16_16_12))
           (portRef A (instanceRef q16_11_d_bm_11))
           (portRef B (instanceRef q16_11_d_am_13))
          ))
          (net (rename q16_11_d_am_13 "q16_11_d_am[13]") (joined
           (portRef Z (instanceRef q16_11_d_am_13))
           (portRef BLUT (instanceRef q16_11_d_13))
          ))
          (net (rename datamux_o_alu_in_left_path_data_14 "datamux_o_alu_in_left_path_data[14]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_data_14))
           (portRef A1 (instanceRef sbc16_w_cry_13_0))
           (portRef C1 (instanceRef sub16_w_cry_13_0))
           (portRef A1 (instanceRef adc16_w_cry_13_0))
           (portRef A1 (instanceRef add16_w_cry_13_0))
           (portRef A1 (instanceRef neg16_w_cry_13_0))
           (portRef B (instanceRef regq16_pipe_RNO_2))
           (portRef A (instanceRef q16_16_14))
           (portRef B (instanceRef vshl16_r))
           (portRef A (instanceRef q16_25_am_14))
           (portRef A (instanceRef q16_25_bm_13))
           (portRef A (instanceRef q16_11_d_bm_13))
          ))
          (net (rename q16_11_d_bm_13 "q16_11_d_bm[13]") (joined
           (portRef Z (instanceRef q16_11_d_bm_13))
           (portRef ALUT (instanceRef q16_11_d_13))
          ))
          (net (rename neg16_w_12 "neg16_w[12]") (joined
           (portRef S1 (instanceRef neg16_w_cry_11_0))
           (portRef C (instanceRef cneg16_r_13))
           (portRef A (instanceRef vneg16_r_7))
           (portRef A (instanceRef q16_11_d_am_12))
          ))
          (net (rename datamux_o_alu_in_left_path_data_11 "datamux_o_alu_in_left_path_data[11]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_data_11))
           (portRef A0 (instanceRef sbc16_w_cry_11_0))
           (portRef C0 (instanceRef sub16_w_cry_11_0))
           (portRef A0 (instanceRef adc16_w_cry_11_0))
           (portRef A0 (instanceRef add16_w_cry_11_0))
           (portRef A0 (instanceRef neg16_w_cry_11_0))
           (portRef A (instanceRef q16_16_11))
           (portRef A (instanceRef q16_11_d_bm_10))
           (portRef B (instanceRef q16_11_d_am_12))
          ))
          (net (rename q16_11_d_am_12 "q16_11_d_am[12]") (joined
           (portRef Z (instanceRef q16_11_d_am_12))
           (portRef BLUT (instanceRef q16_11_d_12))
          ))
          (net (rename q16_11_d_bm_12 "q16_11_d_bm[12]") (joined
           (portRef Z (instanceRef q16_11_d_bm_12))
           (portRef ALUT (instanceRef q16_11_d_12))
          ))
          (net (rename neg16_w_11 "neg16_w[11]") (joined
           (portRef S0 (instanceRef neg16_w_cry_11_0))
           (portRef D (instanceRef vneg16_r_6))
           (portRef D (instanceRef cneg16_r_13_3))
           (portRef A (instanceRef q16_11_d_am_11))
          ))
          (net (rename datamux_o_alu_in_left_path_data_10 "datamux_o_alu_in_left_path_data[10]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_data_10))
           (portRef A1 (instanceRef sbc16_w_cry_9_0))
           (portRef C1 (instanceRef sub16_w_cry_9_0))
           (portRef A1 (instanceRef adc16_w_cry_9_0))
           (portRef A1 (instanceRef add16_w_cry_9_0))
           (portRef A1 (instanceRef neg16_w_cry_9_0))
           (portRef A (instanceRef q16_16_10))
           (portRef B (instanceRef q16_11_d_am_11))
          ))
          (net (rename q16_11_d_am_11 "q16_11_d_am[11]") (joined
           (portRef Z (instanceRef q16_11_d_am_11))
           (portRef BLUT (instanceRef q16_11_d_11))
          ))
          (net (rename q16_11_d_bm_11 "q16_11_d_bm[11]") (joined
           (portRef Z (instanceRef q16_11_d_bm_11))
           (portRef ALUT (instanceRef q16_11_d_11))
          ))
          (net (rename neg16_w_10 "neg16_w[10]") (joined
           (portRef S1 (instanceRef neg16_w_cry_9_0))
           (portRef C (instanceRef vneg16_r_6))
           (portRef C (instanceRef cneg16_r_13_3))
           (portRef A (instanceRef q16_11_d_am_10))
          ))
          (net (rename q16_11_d_am_10 "q16_11_d_am[10]") (joined
           (portRef Z (instanceRef q16_11_d_am_10))
           (portRef BLUT (instanceRef q16_11_d_10))
          ))
          (net (rename q16_11_d_bm_10 "q16_11_d_bm[10]") (joined
           (portRef Z (instanceRef q16_11_d_bm_10))
           (portRef ALUT (instanceRef q16_11_d_10))
          ))
          (net (rename neg16_w_9 "neg16_w[9]") (joined
           (portRef S0 (instanceRef neg16_w_cry_9_0))
           (portRef B (instanceRef vneg16_r_6))
           (portRef B (instanceRef cneg16_r_13_3))
           (portRef A (instanceRef q16_11_d_am_9))
          ))
          (net (rename q16_11_d_am_9 "q16_11_d_am[9]") (joined
           (portRef Z (instanceRef q16_11_d_am_9))
           (portRef BLUT (instanceRef q16_11_d_9))
          ))
          (net (rename k_memhi_2 "k_memhi[2]") (joined
           (portRef (member k_memhi 5))
           (portRef B (instanceRef datamux_o_dest_0_10))
           (portRef D (instanceRef datamux_o_alu_in_right_path_data_10))
           (portRef D (instanceRef sub16_w_cry_9_0_RNO_0))
           (portRef B (instanceRef datamux_o_alu_in_left_path_data_10))
           (portRef C (instanceRef q16_25_bm_9))
           (portRef C (instanceRef q16_25_am_10))
           (portRef C (instanceRef q16_11_d_bm_9))
           (portRef D (instanceRef k_new_pc_pipe_49))
          ))
          (net (rename regs_o_left_path_data_9 "regs_o_left_path_data[10]") (joined
           (portRef regs_o_left_path_data_9)
           (portRef C (instanceRef datamux_o_alu_in_left_path_data_10))
           (portRef D (instanceRef q16_25_bm_9))
           (portRef D (instanceRef q16_25_am_10))
           (portRef D (instanceRef q16_11_d_bm_9))
           (portRef A (instanceRef k_cpu_data_o_7_0_RNIFP951_2))
          ))
          (net (rename q16_11_d_bm_9 "q16_11_d_bm[9]") (joined
           (portRef Z (instanceRef q16_11_d_bm_9))
           (portRef ALUT (instanceRef q16_11_d_9))
          ))
          (net (rename neg16_w_6 "neg16_w[7]") (joined
           (portRef S0 (instanceRef neg16_w_cry_7_0))
           (portRef A (instanceRef vneg16_r_6))
           (portRef B (instanceRef vneg8_r))
           (portRef A (instanceRef q16_11_d_am_7))
           (portRef B (instanceRef q8_7_am_7))
           (portRef neg16_w_6)
          ))
          (net (rename q16_11_d_am_7 "q16_11_d_am[7]") (joined
           (portRef Z (instanceRef q16_11_d_am_7))
           (portRef BLUT (instanceRef q16_11_d_7))
          ))
          (net (rename q16_11_d_bm_7 "q16_11_d_bm[7]") (joined
           (portRef Z (instanceRef q16_11_d_bm_7))
           (portRef ALUT (instanceRef q16_11_d_7))
          ))
          (net (rename neg16_w_8 "neg16_w[8]") (joined
           (portRef S1 (instanceRef neg16_w_cry_7_0))
           (portRef B (instanceRef vneg16_r_5))
           (portRef A (instanceRef cneg16_r_13_3))
           (portRef A (instanceRef q16_11_d_am_8))
          ))
          (net (rename q16_11_d_am_8 "q16_11_d_am[8]") (joined
           (portRef Z (instanceRef q16_11_d_am_8))
           (portRef BLUT (instanceRef q16_11_d_8))
          ))
          (net (rename k_memhi_1 "k_memhi[1]") (joined
           (portRef (member k_memhi 6))
           (portRef B (instanceRef datamux_o_dest_0_9))
           (portRef D (instanceRef datamux_o_alu_in_right_path_data_9))
           (portRef D (instanceRef sub16_w_cry_9_0_RNO))
           (portRef B (instanceRef datamux_o_alu_in_left_path_data_9))
           (portRef C (instanceRef q16_25_bm_8))
           (portRef C (instanceRef q16_25_am_9))
           (portRef C (instanceRef q16_11_d_bm_8))
           (portRef D (instanceRef k_new_pc_pipe_46))
          ))
          (net (rename regs_o_left_path_data_8 "regs_o_left_path_data[9]") (joined
           (portRef regs_o_left_path_data_8)
           (portRef C (instanceRef datamux_o_alu_in_left_path_data_9))
           (portRef D (instanceRef q16_25_bm_8))
           (portRef D (instanceRef q16_25_am_9))
           (portRef D (instanceRef q16_11_d_bm_8))
           (portRef A (instanceRef k_cpu_data_o_7_0_RNI6EQ81_1))
          ))
          (net (rename q16_11_d_bm_8 "q16_11_d_bm[8]") (joined
           (portRef Z (instanceRef q16_11_d_bm_8))
           (portRef ALUT (instanceRef q16_11_d_8))
          ))
          (net (rename neg16_w_5 "neg16_w[6]") (joined
           (portRef S1 (instanceRef neg16_w_cry_5_0))
           (portRef D (instanceRef vneg8_r_6))
           (portRef A (instanceRef q16_11_d_am_6))
           (portRef A (instanceRef q8_3_am_6))
           (portRef neg16_w_5)
          ))
          (net (rename q16_11_d_am_6 "q16_11_d_am[6]") (joined
           (portRef Z (instanceRef q16_11_d_am_6))
           (portRef BLUT (instanceRef q16_11_d_6))
          ))
          (net (rename datamux_o_alu_in_left_path_data_d_7 "datamux_o_alu_in_left_path_data_d[7]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_data_d_7))
           (portRef A (instanceRef datamux_o_alu_in_left_path_data_7))
           (portRef A (instanceRef mul16_w_madd_3_8_d))
           (portRef B (instanceRef mul16_w_madd_3_1_a))
           (portRef A (instanceRef vshl8_r))
           (portRef A (instanceRef regq8_pipe_7_RNO))
           (portRef A (instanceRef q16_11_d_bm_6))
          ))
          (net (rename q16_11_d_bm_6 "q16_11_d_bm[6]") (joined
           (portRef Z (instanceRef q16_11_d_bm_6))
           (portRef ALUT (instanceRef q16_11_d_6))
          ))
          (net (rename neg16_w_4 "neg16_w[5]") (joined
           (portRef S0 (instanceRef neg16_w_cry_5_0))
           (portRef C (instanceRef vneg8_r_6))
           (portRef A (instanceRef q16_11_d_am_5))
           (portRef A (instanceRef q8_3_am_5))
           (portRef neg16_w_4)
          ))
          (net (rename q16_11_d_am_5 "q16_11_d_am[5]") (joined
           (portRef Z (instanceRef q16_11_d_am_5))
           (portRef BLUT (instanceRef q16_11_d_5))
          ))
          (net N_350 (joined
           (portRef N_350)
           (portRef C (instanceRef datamux_o_alu_in_left_path_data_6))
           (portRef D (instanceRef mul16_w_madd_3_1_b))
           (portRef D (instanceRef q16_11_d_bm_5))
           (portRef A (instanceRef regq8_pipe_18_RNO))
          ))
          (net (rename q16_11_d_bm_5 "q16_11_d_bm[5]") (joined
           (portRef Z (instanceRef q16_11_d_bm_5))
           (portRef ALUT (instanceRef q16_11_d_5))
          ))
          (net vneg8_r_0 (joined
           (portRef Z (instanceRef vneg16_r_0))
           (portRef D (instanceRef vneg16_r_7))
           (portRef C (instanceRef vneg8_r))
          ))
          (net k_pp_regs_27_sn_m12_3 (joined
           (portRef Z (instanceRef un1_state_25_RNIDQVI2))
           (portRef B (instanceRef k_pp_regs_0_sqmuxa_2_1_RNI0A648))
          ))
          (net k_pp_regs_27_sn_m12_4 (joined
           (portRef Z (instanceRef un1_state_19_RNIBDDL3))
           (portRef C (instanceRef k_pp_regs_0_sqmuxa_2_1_RNI0A648))
          ))
          (net (rename next_state_cnst_i_a2_1_0 "next_state_cnst_i_a2_1[0]") (joined
           (portRef Z (instanceRef next_state_cnst_i_a2_1_0))
           (portRef B (instanceRef next_state_10_0))
          ))
          (net (rename next_state_cnst_i_a2_2_0 "next_state_cnst_i_a2_2[0]") (joined
           (portRef Z (instanceRef next_state_cnst_i_a2_2_0))
           (portRef C (instanceRef next_state_10_0))
          ))
          (net (rename next_state_10_0 "next_state_10[0]") (joined
           (portRef Z (instanceRef next_state_10_0))
           (portRef next_state_10_0)
          ))
          (net (rename k_memhi_5 "k_memhi[5]") (joined
           (portRef (member k_memhi 2))
           (portRef B (instanceRef datamux_o_dest_0_13))
           (portRef D (instanceRef datamux_o_alu_in_right_path_data_13))
           (portRef D (instanceRef sub16_w_cry_13_0_RNO))
           (portRef B (instanceRef datamux_o_alu_in_left_path_data_13))
           (portRef C (instanceRef q16_25_bm_12))
           (portRef C (instanceRef q16_25_am_13))
           (portRef D (instanceRef k_new_pc_pipe_58))
          ))
          (net (rename regs_o_left_path_data_12 "regs_o_left_path_data[13]") (joined
           (portRef regs_o_left_path_data_12)
           (portRef C (instanceRef datamux_o_alu_in_left_path_data_13))
           (portRef D (instanceRef q16_25_bm_12))
           (portRef D (instanceRef q16_25_am_13))
           (portRef A (instanceRef k_cpu_data_o_7_0_RNILV951_5))
          ))
          (net (rename q16_25_am_13 "q16_25_am[13]") (joined
           (portRef Z (instanceRef q16_25_am_13))
           (portRef BLUT (instanceRef q16_25_13))
          ))
          (net (rename q16_25_bm_13 "q16_25_bm[13]") (joined
           (portRef Z (instanceRef q16_25_bm_13))
           (portRef ALUT (instanceRef q16_25_13))
          ))
          (net N_554 (joined
           (portRef Z (instanceRef q16_3_1))
           (portRef A (instanceRef q16_4_1))
          ))
          (net (rename q16_25_am_14 "q16_25_am[14]") (joined
           (portRef Z (instanceRef q16_25_am_14))
           (portRef BLUT (instanceRef q16_25_14))
          ))
          (net (rename k_memhi_7 "k_memhi[7]") (joined
           (portRef (member k_memhi 0))
           (portRef B0 (instanceRef neg16_w_s_15_0))
           (portRef B (instanceRef datamux_o_dest_0_15))
           (portRef D (instanceRef datamux_o_alu_in_right_path_data_15))
           (portRef D (instanceRef sub16_w_cry_15_0_RNO))
           (portRef B (instanceRef datamux_o_alu_in_left_path_data_15))
           (portRef C (instanceRef vshl16_r))
           (portRef C (instanceRef vasr16_r))
           (portRef C (instanceRef q16_25_bm_14))
           (portRef D (instanceRef k_new_pc_pipe_40))
          ))
          (net (rename regs_o_left_path_data_14 "regs_o_left_path_data[15]") (joined
           (portRef regs_o_left_path_data_14)
           (portRef C0 (instanceRef neg16_w_s_15_0))
           (portRef C (instanceRef datamux_o_alu_in_left_path_data_15))
           (portRef D (instanceRef vshl16_r))
           (portRef D (instanceRef vasr16_r))
           (portRef D (instanceRef q16_25_bm_14))
           (portRef A (instanceRef k_cpu_data_o_7_0_RNIP3A51_7))
          ))
          (net (rename q16_25_bm_14 "q16_25_bm[14]") (joined
           (portRef Z (instanceRef q16_25_bm_14))
           (portRef ALUT (instanceRef q16_25_14))
          ))
          (net (rename k_memhi_4 "k_memhi[4]") (joined
           (portRef (member k_memhi 3))
           (portRef B (instanceRef datamux_o_dest_0_12))
           (portRef D (instanceRef datamux_o_alu_in_right_path_data_12))
           (portRef D (instanceRef sub16_w_cry_11_0_RNO_0))
           (portRef B (instanceRef datamux_o_alu_in_left_path_data_12))
           (portRef C (instanceRef q16_25_bm_11))
           (portRef C (instanceRef q16_25_am_12))
           (portRef D (instanceRef k_new_pc_pipe_26))
          ))
          (net (rename regs_o_left_path_data_11 "regs_o_left_path_data[12]") (joined
           (portRef regs_o_left_path_data_11)
           (portRef C (instanceRef datamux_o_alu_in_left_path_data_12))
           (portRef D (instanceRef q16_25_bm_11))
           (portRef D (instanceRef q16_25_am_12))
           (portRef A (instanceRef k_cpu_data_o_7_0_RNIJT951_4))
          ))
          (net (rename q16_25_am_12 "q16_25_am[12]") (joined
           (portRef Z (instanceRef q16_25_am_12))
           (portRef BLUT (instanceRef q16_25_12))
          ))
          (net (rename q16_25_bm_12 "q16_25_bm[12]") (joined
           (portRef Z (instanceRef q16_25_bm_12))
           (portRef ALUT (instanceRef q16_25_12))
          ))
          (net (rename k_memhi_3 "k_memhi[3]") (joined
           (portRef (member k_memhi 4))
           (portRef D (instanceRef datamux_o_alu_in_right_path_data_11))
           (portRef D (instanceRef sub16_w_cry_11_0_RNO))
           (portRef B (instanceRef datamux_o_alu_in_left_path_data_11))
           (portRef C (instanceRef q16_25_bm_10))
           (portRef C (instanceRef q16_25_am_11))
           (portRef D (instanceRef k_new_pc_pipe_52))
          ))
          (net (rename regs_o_left_path_data_10 "regs_o_left_path_data[11]") (joined
           (portRef regs_o_left_path_data_10)
           (portRef C (instanceRef datamux_o_alu_in_left_path_data_11))
           (portRef D (instanceRef q16_25_bm_10))
           (portRef D (instanceRef q16_25_am_11))
           (portRef A (instanceRef k_cpu_data_o_7_0_RNIHR951_3))
          ))
          (net (rename q16_25_am_11 "q16_25_am[11]") (joined
           (portRef Z (instanceRef q16_25_am_11))
           (portRef BLUT (instanceRef q16_25_11))
          ))
          (net (rename q16_25_bm_11 "q16_25_bm[11]") (joined
           (portRef Z (instanceRef q16_25_bm_11))
           (portRef ALUT (instanceRef q16_25_11))
          ))
          (net (rename q16_25_am_10 "q16_25_am[10]") (joined
           (portRef Z (instanceRef q16_25_am_10))
           (portRef BLUT (instanceRef q16_25_10))
          ))
          (net (rename q16_25_bm_10 "q16_25_bm[10]") (joined
           (portRef Z (instanceRef q16_25_bm_10))
           (portRef ALUT (instanceRef q16_25_10))
          ))
          (net (rename q16_25_am_9 "q16_25_am[9]") (joined
           (portRef Z (instanceRef q16_25_am_9))
           (portRef BLUT (instanceRef q16_25_9))
          ))
          (net (rename q16_25_bm_9 "q16_25_bm[9]") (joined
           (portRef Z (instanceRef q16_25_bm_9))
           (portRef ALUT (instanceRef q16_25_9))
          ))
          (net (rename q16_25_am_8 "q16_25_am[8]") (joined
           (portRef Z (instanceRef q16_25_am_8))
           (portRef BLUT (instanceRef q16_25_8))
          ))
          (net (rename q16_25_bm_8 "q16_25_bm[8]") (joined
           (portRef Z (instanceRef q16_25_bm_8))
           (portRef ALUT (instanceRef q16_25_8))
          ))
          (net N_345 (joined
           (portRef N_345)
           (portRef C (instanceRef datamux_o_alu_in_left_path_data_1))
           (portRef D (instanceRef mul16_w_madd_0_0_a))
           (portRef D (instanceRef and8_w_1))
           (portRef D (instanceRef eor8_w_1))
           (portRef D (instanceRef q16_10_am_0))
           (portRef A (instanceRef regq8_pipe_73_RNO))
          ))
          (net (rename q16_10_am_0 "q16_10_am[0]") (joined
           (portRef Z (instanceRef q16_10_am_0))
           (portRef BLUT (instanceRef q16_10_0))
          ))
          (net (rename q16_10_bm_0 "q16_10_bm[0]") (joined
           (portRef Z (instanceRef q16_10_bm_0))
           (portRef ALUT (instanceRef q16_10_0))
          ))
          (net N_775 (joined
           (portRef Z (instanceRef q16_16_14))
           (portRef A (instanceRef q16_18_14))
          ))
          (net N_769 (joined
           (portRef Z (instanceRef q16_16_8))
           (portRef A (instanceRef q16_18_8))
          ))
          (net un1_opcode_in_9_0 (joined
           (portRef Z (instanceRef q16_11_s_13))
           (portRef A (instanceRef un1_opcode_in_9))
          ))
          (net k_memhi_0_sqmuxa (joined
           (portRef Z (instanceRef k_memhi_0_sqmuxa))
           (portRef B (instanceRef k_memhi_0_sqmuxa_RNI76MN))
          ))
          (net un1_state_56 (joined
           (portRef Z (instanceRef un1_state_56))
           (portRef A (instanceRef un1_state_97_4))
           (portRef C (instanceRef k_memhi_0_sqmuxa_RNI76MN))
          ))
          (net k_memhi_0_sqmuxa_RNI76MN (joined
           (portRef Z (instanceRef k_memhi_0_sqmuxa_RNI76MN))
           (portRef k_memhi_0_sqmuxa_RNI76MN)
          ))
          (net (rename adc16_w_7 "adc16_w[7]") (joined
           (portRef S0 (instanceRef adc16_w_cry_7_0))
           (portRef A (instanceRef vadc8_r))
          ))
          (net (rename mul16_w_madd_0_2 "mul16_w_madd_0[2]") (joined
           (portRef S0 (instanceRef mul16_w_madd_0_cry_1_0))
           (portRef C1 (instanceRef mul16_w_madd_4_cry_0_0))
           (portRef B (instanceRef q16_9_i_2))
          ))
          (net k_clear_e_3 (joined
           (portRef Z (instanceRef k_clear_e_3))
           (portRef A (instanceRef k_pp_regs_0_sqmuxa_2_7_RNI128O))
           (portRef A (instanceRef k_pp_regs_27_am_0))
           (portRef k_clear_e_3)
          ))
          (net N_427 (joined
           (portRef Z (instanceRef q8_17_2))
           (portRef A (instanceRef q8_19_2))
          ))
          (net (rename datamux_o_alu_in_right_path_data_10 "datamux_o_alu_in_right_path_data[10]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_right_path_data_10))
           (portRef B1 (instanceRef sbc16_w_cry_9_0))
           (portRef B1 (instanceRef sub16_w_cry_9_0))
           (portRef B1 (instanceRef adc16_w_cry_9_0))
           (portRef B1 (instanceRef add16_w_cry_9_0))
           (portRef B (instanceRef q16_16_10))
           (portRef B (instanceRef q8_19_2))
          ))
          (net N_428 (joined
           (portRef Z (instanceRef q8_17_3))
           (portRef A (instanceRef q8_19_3))
          ))
          (net (rename datamux_o_alu_in_right_path_data_11 "datamux_o_alu_in_right_path_data[11]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_right_path_data_11))
           (portRef B0 (instanceRef sbc16_w_cry_11_0))
           (portRef B0 (instanceRef sub16_w_cry_11_0))
           (portRef B0 (instanceRef adc16_w_cry_11_0))
           (portRef B0 (instanceRef add16_w_cry_11_0))
           (portRef B (instanceRef q16_16_11))
           (portRef B (instanceRef q8_19_3))
          ))
          (net N_429 (joined
           (portRef Z (instanceRef q8_17_4))
           (portRef A (instanceRef q8_19_4))
          ))
          (net (rename datamux_o_alu_in_right_path_data_12 "datamux_o_alu_in_right_path_data[12]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_right_path_data_12))
           (portRef B1 (instanceRef sbc16_w_cry_11_0))
           (portRef B1 (instanceRef sub16_w_cry_11_0))
           (portRef B1 (instanceRef adc16_w_cry_11_0))
           (portRef B1 (instanceRef add16_w_cry_11_0))
           (portRef B (instanceRef q16_16_12))
           (portRef B (instanceRef q8_19_4))
          ))
          (net N_430 (joined
           (portRef Z (instanceRef q8_17_5))
           (portRef A (instanceRef q8_19_5))
          ))
          (net (rename datamux_o_alu_in_right_path_data_13 "datamux_o_alu_in_right_path_data[13]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_right_path_data_13))
           (portRef B0 (instanceRef sbc16_w_cry_13_0))
           (portRef B0 (instanceRef sub16_w_cry_13_0))
           (portRef B0 (instanceRef adc16_w_cry_13_0))
           (portRef B0 (instanceRef add16_w_cry_13_0))
           (portRef B (instanceRef q16_16_13))
           (portRef B (instanceRef q8_19_5))
          ))
          (net N_431 (joined
           (portRef Z (instanceRef q8_17_6))
           (portRef A (instanceRef q8_19_6))
          ))
          (net (rename datamux_o_alu_in_right_path_data_14 "datamux_o_alu_in_right_path_data[14]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_right_path_data_14))
           (portRef B1 (instanceRef sbc16_w_cry_13_0))
           (portRef B1 (instanceRef sub16_w_cry_13_0))
           (portRef B1 (instanceRef adc16_w_cry_13_0))
           (portRef B1 (instanceRef add16_w_cry_13_0))
           (portRef B (instanceRef q16_16_14))
           (portRef B (instanceRef q8_19_6))
          ))
          (net N_432 (joined
           (portRef Z (instanceRef q8_17_7))
           (portRef A (instanceRef q8_19_7))
          ))
          (net (rename regs_o_left_path_data_5 "regs_o_left_path_data[6]") (joined
           (portRef regs_o_left_path_data_5)
           (portRef B (instanceRef k_cpu_data_o_7_am_6))
          ))
          (net (rename k_cpu_data_o_7_am_6 "k_cpu_data_o_7_am[6]") (joined
           (portRef Z (instanceRef k_cpu_data_o_7_am_6))
           (portRef BLUT (instanceRef k_cpu_data_o_7_6))
          ))
          (net (rename k_cpu_data_o_7_bm_6 "k_cpu_data_o_7_bm[6]") (joined
           (portRef Z (instanceRef k_cpu_data_o_7_bm_6))
           (portRef ALUT (instanceRef k_cpu_data_o_7_6))
          ))
          (net k_cpu_data_o_7_sn_N_2 (joined
           (portRef k_cpu_data_o_7_sn_N_2)
           (portRef C0 (instanceRef k_cpu_data_o_7_6))
           (portRef C0 (instanceRef k_cpu_data_o_7_0))
          ))
          (net (rename k_cpu_data_o_7_6 "k_cpu_data_o_7[6]") (joined
           (portRef Z (instanceRef k_cpu_data_o_7_6))
           (portRef (member k_cpu_data_o_7 1))
          ))
          (net un3_daa8h_r_ac0_5 (joined
           (portRef Z (instanceRef un3_daa8h_r_ac0_5))
           (portRef B (instanceRef daa8h_r))
           (portRef un3_daa8h_r_ac0_5)
          ))
          (net (rename k_cpu_addr_26_iv_0_12 "k_cpu_addr_26_iv_0[12]") (joined
           (portRef Z (instanceRef k_cpu_addr_26_iv_0_12))
           (portRef A (instanceRef k_cpu_addr_26_iv_1_12))
          ))
          (net (rename regs_o_eamem_addr_12 "regs_o_eamem_addr[12]") (joined
           (portRef regs_o_eamem_addr_12)
           (portRef C (instanceRef datamux_o_dest_0_12))
           (portRef B (instanceRef k_cpu_addr_26_iv_1_12))
           (portRef D (instanceRef k_new_pc_pipe_25))
          ))
          (net (rename k_cpu_addr_26_iv_1_11 "k_cpu_addr_26_iv_1[12]") (joined
           (portRef Z (instanceRef k_cpu_addr_26_iv_1_12))
           (portRef k_cpu_addr_26_iv_1_11)
          ))
          (net (rename k_cpu_addr_26_iv_0_14 "k_cpu_addr_26_iv_0[14]") (joined
           (portRef Z (instanceRef k_cpu_addr_26_iv_0_14))
           (portRef A (instanceRef k_cpu_addr_26_iv_1_14))
          ))
          (net (rename regs_o_eamem_addr_14 "regs_o_eamem_addr[14]") (joined
           (portRef regs_o_eamem_addr_14)
           (portRef C (instanceRef datamux_o_dest_0_14))
           (portRef B (instanceRef k_cpu_addr_26_iv_1_14))
           (portRef D (instanceRef k_new_pc_pipe_32))
          ))
          (net un1_k_cpu_addr_1_sqmuxa (joined
           (portRef Z (instanceRef un1_k_cpu_addr_1_sqmuxa))
           (portRef C (instanceRef k_cpu_addr_26_iv_0_1))
           (portRef C (instanceRef k_cpu_addr_26_iv_0_8))
           (portRef C (instanceRef k_cpu_addr_26_iv_0_3))
           (portRef C (instanceRef k_cpu_addr_26_iv_0_6))
           (portRef C (instanceRef k_cpu_addr_26_iv_0_4))
           (portRef C (instanceRef k_cpu_addr_26_iv_0_7))
           (portRef C (instanceRef k_cpu_addr_26_iv_1_15))
           (portRef C (instanceRef k_cpu_addr_26_iv_1_14))
           (portRef C (instanceRef k_cpu_addr_26_iv_1_12))
           (portRef un1_k_cpu_addr_1_sqmuxa)
          ))
          (net (rename k_cpu_addr_26_iv_1_13 "k_cpu_addr_26_iv_1[14]") (joined
           (portRef Z (instanceRef k_cpu_addr_26_iv_1_14))
           (portRef k_cpu_addr_26_iv_1_13)
          ))
          (net (rename k_cpu_addr_26_iv_0_15 "k_cpu_addr_26_iv_0[15]") (joined
           (portRef Z (instanceRef k_cpu_addr_26_iv_0_15))
           (portRef A (instanceRef k_cpu_addr_26_iv_1_15))
          ))
          (net (rename regs_o_eamem_addr_15 "regs_o_eamem_addr[15]") (joined
           (portRef regs_o_eamem_addr_15)
           (portRef C (instanceRef datamux_o_dest_0_15))
           (portRef B (instanceRef k_cpu_addr_26_iv_1_15))
           (portRef D (instanceRef k_new_pc_pipe_39))
          ))
          (net (rename k_cpu_addr_26_iv_1_14 "k_cpu_addr_26_iv_1[15]") (joined
           (portRef Z (instanceRef k_cpu_addr_26_iv_1_15))
           (portRef k_cpu_addr_26_iv_1_14)
          ))
          (net (rename SS_14 "SS[14]") (joined
           (portRef SS_14)
           (portRef B (instanceRef regs_o_su_m_14))
          ))
          (net (rename SU_14 "SU[14]") (joined
           (portRef SU_14)
           (portRef C (instanceRef regs_o_su_m_14))
          ))
          (net (rename regs_o_su_m_13 "regs_o_su_m[14]") (joined
           (portRef Z (instanceRef regs_o_su_m_14))
           (portRef regs_o_su_m_13)
          ))
          (net use_s_1 (joined
           (portRef use_s_1)
           (portRef A (instanceRef regs_o_su_m_12))
           (portRef A (instanceRef regs_o_su_m_7))
           (portRef A (instanceRef regs_o_su_m_1))
           (portRef A (instanceRef regs_o_su_m_15))
           (portRef A (instanceRef regs_o_su_m_8))
           (portRef A (instanceRef regs_o_su_m_3))
           (portRef A (instanceRef regs_o_su_m_4))
           (portRef A (instanceRef regs_o_su_m_6))
           (portRef A (instanceRef regs_o_su_m_14))
          ))
          (net (rename SS_6 "SS[6]") (joined
           (portRef SS_6)
           (portRef B (instanceRef regs_o_su_m_6))
          ))
          (net (rename SU_6 "SU[6]") (joined
           (portRef SU_6)
           (portRef C (instanceRef regs_o_su_m_6))
          ))
          (net un1_k_cpu_addr_3_sqmuxa (joined
           (portRef un1_k_cpu_addr_3_sqmuxa)
           (portRef D (instanceRef regs_o_su_m_12))
           (portRef D (instanceRef regs_o_su_m_7))
           (portRef D (instanceRef regs_o_su_m_1))
           (portRef D (instanceRef regs_o_su_m_15))
           (portRef D (instanceRef regs_o_su_m_8))
           (portRef D (instanceRef regs_o_su_m_3))
           (portRef D (instanceRef regs_o_su_m_4))
           (portRef D (instanceRef regs_o_su_m_6))
           (portRef D (instanceRef regs_o_su_m_14))
          ))
          (net (rename regs_o_su_m_5 "regs_o_su_m[6]") (joined
           (portRef Z (instanceRef regs_o_su_m_6))
           (portRef regs_o_su_m_5)
          ))
          (net (rename SS_4 "SS[4]") (joined
           (portRef SS_4)
           (portRef B (instanceRef regs_o_su_m_4))
          ))
          (net (rename SU_4 "SU[4]") (joined
           (portRef SU_4)
           (portRef C (instanceRef regs_o_su_m_4))
          ))
          (net (rename regs_o_su_m_3 "regs_o_su_m[4]") (joined
           (portRef Z (instanceRef regs_o_su_m_4))
           (portRef regs_o_su_m_3)
          ))
          (net (rename SS_3 "SS[3]") (joined
           (portRef SS_3)
           (portRef B (instanceRef regs_o_su_m_3))
          ))
          (net (rename SU_3 "SU[3]") (joined
           (portRef SU_3)
           (portRef C (instanceRef regs_o_su_m_3))
          ))
          (net (rename regs_o_su_m_2 "regs_o_su_m[3]") (joined
           (portRef Z (instanceRef regs_o_su_m_3))
           (portRef regs_o_su_m_2)
          ))
          (net (rename SS_8 "SS[8]") (joined
           (portRef SS_8)
           (portRef B (instanceRef regs_o_su_m_8))
          ))
          (net (rename SU_8 "SU[8]") (joined
           (portRef SU_8)
           (portRef C (instanceRef regs_o_su_m_8))
          ))
          (net (rename regs_o_su_m_7 "regs_o_su_m[8]") (joined
           (portRef Z (instanceRef regs_o_su_m_8))
           (portRef regs_o_su_m_7)
          ))
          (net (rename SS_15 "SS[15]") (joined
           (portRef SS_15)
           (portRef B (instanceRef regs_o_su_m_15))
          ))
          (net (rename SU_15 "SU[15]") (joined
           (portRef SU_15)
           (portRef C (instanceRef regs_o_su_m_15))
          ))
          (net (rename regs_o_su_m_14 "regs_o_su_m[15]") (joined
           (portRef Z (instanceRef regs_o_su_m_15))
           (portRef regs_o_su_m_14)
          ))
          (net (rename SS_1 "SS[1]") (joined
           (portRef SS_1)
           (portRef B (instanceRef regs_o_su_m_1))
          ))
          (net (rename SU_1 "SU[1]") (joined
           (portRef SU_1)
           (portRef C (instanceRef regs_o_su_m_1))
          ))
          (net (rename regs_o_su_m_0 "regs_o_su_m[1]") (joined
           (portRef Z (instanceRef regs_o_su_m_1))
           (portRef regs_o_su_m_0)
          ))
          (net (rename SS_7 "SS[7]") (joined
           (portRef SS_7)
           (portRef B (instanceRef regs_o_su_m_7))
          ))
          (net (rename SU_7 "SU[7]") (joined
           (portRef SU_7)
           (portRef C (instanceRef regs_o_su_m_7))
          ))
          (net (rename regs_o_su_m_6 "regs_o_su_m[7]") (joined
           (portRef Z (instanceRef regs_o_su_m_7))
           (portRef regs_o_su_m_6)
          ))
          (net (rename SS_12 "SS[12]") (joined
           (portRef SS_12)
           (portRef B (instanceRef regs_o_su_m_12))
          ))
          (net (rename SU_12 "SU[12]") (joined
           (portRef SU_12)
           (portRef C (instanceRef regs_o_su_m_12))
          ))
          (net (rename regs_o_su_m_11 "regs_o_su_m[12]") (joined
           (portRef Z (instanceRef regs_o_su_m_12))
           (portRef regs_o_su_m_11)
          ))
          (net un1_state_34 (joined
           (portRef un1_state_34)
           (portRef C (instanceRef next_state_cnst_i_a2_2_0))
           (portRef C (instanceRef un1_state_87_1_RNI01C14))
           (portRef C (instanceRef un1_state_95_0_0))
           (portRef D (instanceRef un1_next_state_3_sqmuxa))
           (portRef B (instanceRef next_state_10_2_766_i_0))
          ))
          (net (rename add16_w_16 "add16_w[16]") (joined
           (portRef S1 (instanceRef add16_w_cry_15_0))
           (portRef A (instanceRef c16_5_i_m2))
          ))
          (net (rename sub16_w_16 "sub16_w[16]") (joined
           (portRef S1 (instanceRef sub16_w_cry_15_0))
           (portRef B (instanceRef c16_5_i_m2))
          ))
          (net N_44 (joined
           (portRef Z (instanceRef c16_5_i_m2))
           (portRef N_44)
          ))
          (net (rename datamux_o_alu_in_right_path_data_8 "datamux_o_alu_in_right_path_data[8]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_right_path_data_8))
           (portRef B1 (instanceRef sbc16_w_cry_7_0))
           (portRef B1 (instanceRef sub16_w_cry_7_0))
           (portRef B1 (instanceRef adc16_w_cry_7_0))
           (portRef B1 (instanceRef add16_w_cry_7_0))
           (portRef A (instanceRef q8_18_0))
           (portRef B (instanceRef q16_16_8))
          ))
          (net (rename q8_12_0_4 "q8_12_0[4]") (joined
           (portRef Z (instanceRef q8_12_0_1))
           (portRef A (instanceRef q8_12_1))
          ))
          (net (rename neg16_w_0 "neg16_w[1]") (joined
           (portRef S0 (instanceRef neg16_w_cry_1_0))
           (portRef A (instanceRef regq8_pipe_75_RNO))
           (portRef C (instanceRef vneg16_r_0))
           (portRef A (instanceRef regq16_pipe_178_RNO))
           (portRef neg16_w_0)
          ))
          (net k_pp_regs_0_sqmuxa_2_7_2 (joined
           (portRef Z (instanceRef k_pp_regs_0_sqmuxa_2_7_2))
           (portRef A (instanceRef k_pp_regs_0_sqmuxa_2_7))
          ))
          (net (rename k_opcode_3 "k_opcode[3]") (joined
           (portRef (member k_opcode 4))
           (portRef B (instanceRef k_pp_regs_0_sqmuxa_2_7))
          ))
          (net (rename k_opcode_4 "k_opcode[4]") (joined
           (portRef (member k_opcode 3))
           (portRef C (instanceRef k_pp_regs_0_sqmuxa_2_7))
          ))
          (net state81 (joined
           (portRef state81)
           (portRef A (instanceRef un1_state_95_0_0))
           (portRef A (instanceRef un1_next_state_3_sqmuxa))
          ))
          (net state83 (joined
           (portRef state83)
           (portRef B (instanceRef un1_state_95_0_0))
           (portRef B (instanceRef un1_next_state_3_sqmuxa))
          ))
          (net (rename k_eahi_4 "k_eahi[4]") (joined
           (portRef k_eahi_4)
           (portRef A (instanceRef k_cpu_addr_26_iv_0_12))
           (portRef D (instanceRef k_new_pc_pipe_69))
          ))
          (net (rename regs_o_pc_12 "regs_o_pc[12]") (joined
           (portRef regs_o_pc_12)
           (portRef B (instanceRef k_cpu_addr_26_iv_0_12))
          ))
          (net (rename k_cpu_addr_26_iv_0_7 "k_cpu_addr_26_iv_0[7]") (joined
           (portRef Z (instanceRef k_cpu_addr_26_iv_0_7))
           (portRef A (instanceRef k_cpu_addr_26_iv_1_7))
          ))
          (net (rename k_ealo_6 "k_ealo[7]") (joined
           (portRef k_ealo_6)
           (portRef B (instanceRef k_cpu_addr_26_iv_1_7))
           (portRef D (instanceRef k_new_pc_pipe_7))
          ))
          (net un1_k_cpu_addr_2_sqmuxa_1 (joined
           (portRef Z (instanceRef un1_k_cpu_addr_2_sqmuxa_1))
           (portRef D (instanceRef k_cpu_addr_26_iv_0_15))
           (portRef C (instanceRef k_cpu_addr_26_iv_1_1))
           (portRef C (instanceRef k_cpu_addr_26_iv_1_8))
           (portRef C (instanceRef k_cpu_addr_26_iv_1_3))
           (portRef D (instanceRef k_cpu_addr_26_iv_0_14))
           (portRef C (instanceRef k_cpu_addr_26_iv_1_6))
           (portRef C (instanceRef k_cpu_addr_26_iv_1_4))
           (portRef C (instanceRef k_cpu_addr_26_iv_1_7))
           (portRef D (instanceRef k_cpu_addr_26_iv_0_12))
           (portRef un1_k_cpu_addr_2_sqmuxa_1)
          ))
          (net (rename k_cpu_addr_26_iv_1_6 "k_cpu_addr_26_iv_1[7]") (joined
           (portRef Z (instanceRef k_cpu_addr_26_iv_1_7))
           (portRef k_cpu_addr_26_iv_1_6)
          ))
          (net (rename k_cpu_addr_26_iv_0_4 "k_cpu_addr_26_iv_0[4]") (joined
           (portRef Z (instanceRef k_cpu_addr_26_iv_0_4))
           (portRef A (instanceRef k_cpu_addr_26_iv_1_4))
          ))
          (net (rename k_ealo_3 "k_ealo[4]") (joined
           (portRef k_ealo_3)
           (portRef B (instanceRef k_cpu_addr_26_iv_1_4))
          ))
          (net (rename k_cpu_addr_26_iv_1_3 "k_cpu_addr_26_iv_1[4]") (joined
           (portRef Z (instanceRef k_cpu_addr_26_iv_1_4))
           (portRef k_cpu_addr_26_iv_1_3)
          ))
          (net (rename k_cpu_addr_26_iv_0_6 "k_cpu_addr_26_iv_0[6]") (joined
           (portRef Z (instanceRef k_cpu_addr_26_iv_0_6))
           (portRef A (instanceRef k_cpu_addr_26_iv_1_6))
          ))
          (net (rename k_ealo_5 "k_ealo[6]") (joined
           (portRef k_ealo_5)
           (portRef B (instanceRef k_cpu_addr_26_iv_1_6))
          ))
          (net (rename k_cpu_addr_26_iv_1_5 "k_cpu_addr_26_iv_1[6]") (joined
           (portRef Z (instanceRef k_cpu_addr_26_iv_1_6))
           (portRef k_cpu_addr_26_iv_1_5)
          ))
          (net (rename k_eahi_6 "k_eahi[6]") (joined
           (portRef k_eahi_6)
           (portRef A (instanceRef k_cpu_addr_26_iv_0_14))
           (portRef D (instanceRef k_new_pc_pipe_71))
          ))
          (net (rename regs_o_pc_14 "regs_o_pc[14]") (joined
           (portRef regs_o_pc_14)
           (portRef B (instanceRef k_cpu_addr_26_iv_0_14))
          ))
          (net un1_k_cpu_addr_2_sqmuxa (joined
           (portRef un1_k_cpu_addr_2_sqmuxa)
           (portRef D (instanceRef k_cpu_addr_26_iv_0_1))
           (portRef D (instanceRef k_cpu_addr_26_iv_0_8))
           (portRef D (instanceRef k_cpu_addr_26_iv_0_3))
           (portRef D (instanceRef k_cpu_addr_26_iv_0_6))
           (portRef D (instanceRef k_cpu_addr_26_iv_0_4))
           (portRef D (instanceRef k_cpu_addr_26_iv_0_7))
           (portRef C (instanceRef k_cpu_addr_26_iv_0_15))
           (portRef C (instanceRef k_cpu_addr_26_iv_0_14))
           (portRef C (instanceRef k_cpu_addr_26_iv_0_12))
          ))
          (net (rename k_cpu_addr_26_iv_0_3 "k_cpu_addr_26_iv_0[3]") (joined
           (portRef Z (instanceRef k_cpu_addr_26_iv_0_3))
           (portRef A (instanceRef k_cpu_addr_26_iv_1_3))
          ))
          (net (rename k_ealo_2 "k_ealo[3]") (joined
           (portRef k_ealo_2)
           (portRef B (instanceRef k_cpu_addr_26_iv_1_3))
           (portRef D (instanceRef k_new_pc_pipe))
          ))
          (net (rename k_cpu_addr_26_iv_1_2 "k_cpu_addr_26_iv_1[3]") (joined
           (portRef Z (instanceRef k_cpu_addr_26_iv_1_3))
           (portRef k_cpu_addr_26_iv_1_2)
          ))
          (net (rename k_cpu_addr_26_iv_0_8 "k_cpu_addr_26_iv_0[8]") (joined
           (portRef Z (instanceRef k_cpu_addr_26_iv_0_8))
           (portRef A (instanceRef k_cpu_addr_26_iv_1_8))
          ))
          (net (rename k_eahi_0 "k_eahi[0]") (joined
           (portRef k_eahi_0)
           (portRef B (instanceRef k_cpu_addr_26_iv_1_8))
           (portRef D (instanceRef k_new_pc_pipe_67))
          ))
          (net (rename k_cpu_addr_26_iv_1_7 "k_cpu_addr_26_iv_1[8]") (joined
           (portRef Z (instanceRef k_cpu_addr_26_iv_1_8))
           (portRef k_cpu_addr_26_iv_1_7)
          ))
          (net (rename k_cpu_addr_26_iv_0_1 "k_cpu_addr_26_iv_0[1]") (joined
           (portRef Z (instanceRef k_cpu_addr_26_iv_0_1))
           (portRef A (instanceRef k_cpu_addr_26_iv_1_1))
          ))
          (net (rename k_ealo_0 "k_ealo[1]") (joined
           (portRef k_ealo_0)
           (portRef B (instanceRef k_cpu_addr_26_iv_1_1))
          ))
          (net (rename k_cpu_addr_26_iv_1_0 "k_cpu_addr_26_iv_1[1]") (joined
           (portRef Z (instanceRef k_cpu_addr_26_iv_1_1))
           (portRef k_cpu_addr_26_iv_1_0)
          ))
          (net (rename k_eahi_7 "k_eahi[7]") (joined
           (portRef k_eahi_7)
           (portRef A (instanceRef k_cpu_addr_26_iv_0_15))
           (portRef D (instanceRef k_new_pc_pipe_73))
          ))
          (net (rename regs_o_pc_15 "regs_o_pc[15]") (joined
           (portRef regs_o_pc_15)
           (portRef B (instanceRef k_cpu_addr_26_iv_0_15))
          ))
          (net (rename next_mem_state_cnv_0_0 "next_mem_state_cnv_0[0]") (joined
           (portRef (member next_mem_state_cnv_0 0))
           (portRef B (instanceRef un1_state_87_1_RNI01C14))
          ))
          (net un1_state_87_0 (joined
           (portRef Z (instanceRef un1_state_87_1))
           (portRef D (instanceRef un1_state_87_1_RNI01C14))
          ))
          (net (rename regs_o_left_path_data_0 "regs_o_left_path_data[1]") (joined
           (portRef regs_o_left_path_data_0)
           (portRef B (instanceRef k_cpu_data_o_7_0_1))
          ))
          (net (rename regs_o_left_path_data_1 "regs_o_left_path_data[2]") (joined
           (portRef regs_o_left_path_data_1)
           (portRef B (instanceRef k_cpu_data_o_7_0_2))
          ))
          (net (rename regs_o_left_path_data_2 "regs_o_left_path_data[3]") (joined
           (portRef regs_o_left_path_data_2)
           (portRef B (instanceRef k_cpu_data_o_7_0_3))
          ))
          (net (rename regs_o_left_path_data_3 "regs_o_left_path_data[4]") (joined
           (portRef regs_o_left_path_data_3)
           (portRef B (instanceRef k_cpu_data_o_7_0_4))
          ))
          (net (rename regs_o_left_path_data_4 "regs_o_left_path_data[5]") (joined
           (portRef regs_o_left_path_data_4)
           (portRef B (instanceRef k_cpu_data_o_7_0_5))
          ))
          (net (rename regs_o_left_path_data_6 "regs_o_left_path_data[7]") (joined
           (portRef regs_o_left_path_data_6)
           (portRef B (instanceRef k_cpu_data_o_7_0_7))
          ))
          (net (rename dec_o_p1_mode_2 "dec_o_p1_mode[2]") (joined
           (portRef (member dec_o_p1_mode 0))
           (portRef D (instanceRef state_3_sqmuxa))
           (portRef C (instanceRef next_state_10_3_744_a5))
           (portRef C (instanceRef N_1771_i))
           (portRef B (instanceRef k_pp_regs_0_sqmuxa_2_1))
           (portRef C (instanceRef k_memhi_0_sqmuxa))
           (portRef B (instanceRef next_state_cnst_0_a2_4))
           (portRef D (instanceRef k_new_pc_pipe_68))
          ))
          (net (rename next_state_10_4 "next_state_10[4]") (joined
           (portRef Z (instanceRef next_state_cnst_0_a2_4))
           (portRef B (instanceRef un1_next_state_3_sqmuxa_RNIIB6Q))
           (portRef next_state_10_4)
          ))
          (net N_351_0 (joined
           (portRef N_351_0)
           (portRef D (instanceRef mul16_w_madd_3_8_d))
           (portRef D (instanceRef mul16_w_madd_3_1_a))
           (portRef D (instanceRef vshl8_r))
           (portRef D (instanceRef regq8_pipe_7_RNO))
           (portRef D (instanceRef q16_11_d_bm_6))
          ))
          (net (rename dec_o_p1_mode_1 "dec_o_p1_mode[1]") (joined
           (portRef (member dec_o_p1_mode 1))
           (portRef C (instanceRef state_3_sqmuxa))
           (portRef B (instanceRef next_state_10_3_744_a5))
           (portRef B (instanceRef N_1771_i))
           (portRef B (instanceRef k_memhi_0_sqmuxa))
           (portRef D (instanceRef k_new_pc_pipe_10))
          ))
          (net k_ofshi_1_sqmuxa (joined
           (portRef k_ofshi_1_sqmuxa)
           (portRef D (instanceRef k_memhi_0_sqmuxa))
          ))
          (net N_1855 (joined
           (portRef Z (instanceRef datamux_o_alu_in_right_path_data_0_sqmuxa_846))
           (portRef A (instanceRef datamux_o_alu_in_right_path_data_1))
           (portRef B (instanceRef datamux_o_alu_in_right_path_data_1_0_1))
           (portRef B (instanceRef datamux_o_alu_in_right_path_data_14))
           (portRef B (instanceRef datamux_o_alu_in_right_path_data_8))
           (portRef B (instanceRef sub16_w_cry_13_0_RNO_0))
           (portRef B (instanceRef sub16_w_cry_7_0_RNO))
           (portRef B (instanceRef datamux_o_alu_in_right_path_data_1_am_3))
           (portRef B (instanceRef datamux_o_alu_in_right_path_data_1_am_7))
           (portRef B (instanceRef datamux_o_alu_in_right_path_data_1_am_2))
           (portRef B (instanceRef datamux_o_alu_in_right_path_data_4))
           (portRef B (instanceRef datamux_o_alu_in_right_path_data_3))
           (portRef B (instanceRef datamux_o_alu_in_right_path_data_5))
           (portRef B (instanceRef datamux_o_alu_in_right_path_data_6))
           (portRef B (instanceRef datamux_o_alu_in_right_path_data_7))
           (portRef B (instanceRef datamux_o_alu_in_right_path_data_2))
           (portRef B (instanceRef datamux_o_alu_in_right_path_data_15))
           (portRef B (instanceRef datamux_o_alu_in_right_path_data_13))
           (portRef B (instanceRef datamux_o_alu_in_right_path_data_12))
           (portRef B (instanceRef datamux_o_alu_in_right_path_data_11))
           (portRef B (instanceRef datamux_o_alu_in_right_path_data_10))
           (portRef B (instanceRef datamux_o_alu_in_right_path_data_9))
           (portRef B (instanceRef sub16_w_cry_15_0_RNO))
           (portRef B (instanceRef sub8_w_cry_7_0_RNO))
           (portRef B (instanceRef sub8_w_cry_5_0_RNO_0))
           (portRef B (instanceRef sub8_w_cry_5_0_RNO))
           (portRef B (instanceRef sub8_w_cry_3_0_RNO_0))
           (portRef B (instanceRef sub8_w_cry_3_0_RNO))
           (portRef B (instanceRef sub8_w_cry_1_0_RNO_0))
           (portRef B (instanceRef sub8_w_cry_1_0_RNO))
           (portRef B (instanceRef sub16_w_cry_13_0_RNO))
           (portRef B (instanceRef sub16_w_cry_11_0_RNO_0))
           (portRef B (instanceRef sub16_w_cry_11_0_RNO))
           (portRef B (instanceRef sub16_w_cry_9_0_RNO_0))
           (portRef B (instanceRef sub16_w_cry_9_0_RNO))
           (portRef B (instanceRef vsbc8_r))
           (portRef B (instanceRef q16_17_0_a3_1))
           (portRef B (instanceRef q16_17_0_a3_7))
           (portRef B (instanceRef q16_18_bm_9))
           (portRef N_1855)
          ))
          (net (rename k_memlo_7 "k_memlo[7]") (joined
           (portRef (member k_memlo 0))
           (portRef B (instanceRef datamux_o_alu_in_left_path_data_d_d_7))
           (portRef B (instanceRef datamux_o_dest_0_7))
           (portRef C (instanceRef datamux_o_alu_in_right_path_data_7))
           (portRef C (instanceRef sub8_w_cry_7_0_RNO))
           (portRef D (instanceRef vsbc8_r))
           (portRef D (instanceRef q16_17_0_a3_7))
           (portRef D (instanceRef q16_18_bm_9))
          ))
          (net N_999 (joined
           (portRef Z (instanceRef datamux_o_alu_in_right_path_data_1_1))
           (portRef A (instanceRef sub8_w_cry_1_0_RNO))
           (portRef A (instanceRef q16_17_0_a3_1))
          ))
          (net N_818_2 (joined
           (portRef N_818_2)
           (portRef A (instanceRef un1_k_cpu_addr_2_sqmuxa_1))
           (portRef A (instanceRef k_pp_regs_0_sqmuxa_2_1))
           (portRef A (instanceRef next_state_cnst_0_a2_4))
          ))
          (net mul16_w_madd_1_1_b (joined
           (portRef Z (instanceRef mul16_w_madd_1_1_b))
           (portRef B0 (instanceRef mul16_w_madd_4_cry_1_0))
          ))
          (net N_349 (joined
           (portRef N_349)
           (portRef C (instanceRef datamux_o_alu_in_left_path_data_5))
           (portRef D (instanceRef mul16_w_madd_2_1_a))
           (portRef A (instanceRef regq8_pipe_29_RNO))
          ))
          (net mul16_w_madd_2_1_a (joined
           (portRef Z (instanceRef mul16_w_madd_2_1_a))
           (portRef C0 (instanceRef mul16_w_madd_cry_1_0))
          ))
          (net mul16_w_madd_2_1_b (joined
           (portRef Z (instanceRef mul16_w_madd_2_1_b))
           (portRef B0 (instanceRef mul16_w_madd_cry_1_0))
          ))
          (net mul16_w_madd_3_1_a (joined
           (portRef Z (instanceRef mul16_w_madd_3_1_a))
           (portRef A0 (instanceRef mul16_w_madd_4_cry_5_0))
          ))
          (net mul16_w_madd_3_1_b (joined
           (portRef Z (instanceRef mul16_w_madd_3_1_b))
           (portRef B0 (instanceRef mul16_w_madd_4_cry_5_0))
          ))
          (net N_1753 (joined
           (portRef Z (instanceRef next_state_10_3_744_a5))
           (portRef A (instanceRef next_state_10_3_744))
          ))
          (net N_1737 (joined
           (portRef Z (instanceRef next_state_10_3_744))
           (portRef N_1737)
          ))
          (net N_1793 (joined
           (portRef Z (instanceRef next_state_10_1_791_a5))
           (portRef A (instanceRef next_state_10_1_791))
          ))
          (net N_1796_1 (joined
           (portRef N_1796_1)
           (portRef A (instanceRef next_state_cnst_i_a2_1_0))
           (portRef B (instanceRef next_state_10_1_791))
           (portRef B (instanceRef next_state_10_3_744))
          ))
          (net un1_state_74_1 (joined
           (portRef un1_state_74_1)
           (portRef D (instanceRef next_state_10_1_791_a5))
           (portRef D (instanceRef next_state_10_3_744_a5))
           (portRef C (instanceRef next_state_10_1_791))
           (portRef D (instanceRef next_state_10_3_744))
          ))
          (net un1_state_74_2 (joined
           (portRef un1_state_74_2)
           (portRef D (instanceRef next_state_10_1_791))
          ))
          (net N_1776 (joined
           (portRef Z (instanceRef next_state_10_1_791))
           (portRef N_1776)
          ))
          (net (rename regs_o_eamem_addr_7 "regs_o_eamem_addr[7]") (joined
           (portRef regs_o_eamem_addr_7)
           (portRef C (instanceRef datamux_o_dest_0_7))
           (portRef A (instanceRef k_cpu_addr_26_iv_0_7))
           (portRef D (instanceRef k_new_pc_pipe_11))
          ))
          (net (rename regs_o_pc_7 "regs_o_pc[7]") (joined
           (portRef regs_o_pc_7)
           (portRef B (instanceRef k_cpu_addr_26_iv_0_7))
          ))
          (net (rename regs_o_pc_4 "regs_o_pc[4]") (joined
           (portRef regs_o_pc_4)
           (portRef B (instanceRef k_cpu_addr_26_iv_0_4))
          ))
          (net (rename regs_o_pc_6 "regs_o_pc[6]") (joined
           (portRef regs_o_pc_6)
           (portRef B (instanceRef k_cpu_addr_26_iv_0_6))
          ))
          (net (rename regs_o_eamem_addr_3 "regs_o_eamem_addr[3]") (joined
           (portRef regs_o_eamem_addr_3)
           (portRef C (instanceRef datamux_o_dest_0_3))
           (portRef A (instanceRef k_cpu_addr_26_iv_0_3))
           (portRef D (instanceRef k_new_pc_pipe_4))
          ))
          (net (rename regs_o_pc_3 "regs_o_pc[3]") (joined
           (portRef regs_o_pc_3)
           (portRef B (instanceRef k_cpu_addr_26_iv_0_3))
          ))
          (net (rename regs_o_eamem_addr_8 "regs_o_eamem_addr[8]") (joined
           (portRef regs_o_eamem_addr_8)
           (portRef C (instanceRef datamux_o_dest_0_8))
           (portRef A (instanceRef k_cpu_addr_26_iv_0_8))
           (portRef D (instanceRef k_new_pc_pipe_18))
          ))
          (net (rename regs_o_pc_8 "regs_o_pc[8]") (joined
           (portRef regs_o_pc_8)
           (portRef B (instanceRef k_cpu_addr_26_iv_0_8))
          ))
          (net (rename regs_o_pc_1 "regs_o_pc[1]") (joined
           (portRef regs_o_pc_1)
           (portRef B (instanceRef k_cpu_addr_26_iv_0_1))
          ))
          (net (rename next_state_cnst_i_o2_2_0 "next_state_cnst_i_o2_2[0]") (joined
           (portRef Z (instanceRef next_state_cnst_i_o2_2_0))
           (portRef B (instanceRef next_state_cnst_i_a2_1_0))
          ))
          (net state_3_sqmuxa (joined
           (portRef Z (instanceRef state_3_sqmuxa))
           (portRef C (instanceRef next_state_cnst_i_a2_1_0))
          ))
          (net un1_state_38 (joined
           (portRef Z (instanceRef un1_state_38))
           (portRef D (instanceRef un1_state_95_0))
           (portRef D (instanceRef next_state_cnst_i_a2_1_0))
           (portRef un1_state_38)
          ))
          (net state79 (joined
           (portRef state79)
           (portRef A (instanceRef next_state_cnst_i_a2_2_0))
          ))
          (net state78 (joined
           (portRef state78)
           (portRef B (instanceRef un1_state_68_0))
          ))
          (net un1_state_43 (joined
           (portRef Z (instanceRef un1_state_43))
           (portRef D (instanceRef un1_state_75))
           (portRef D (instanceRef k_pp_regs_4_sqmuxa_RNI05GT2))
           (portRef C (instanceRef un1_state_68_0))
           (portRef un1_state_43)
          ))
          (net un1_state_57_2 (joined
           (portRef un1_state_57_2)
           (portRef B (instanceRef un1_state_76_1))
           (portRef D (instanceRef un1_state_68_0))
           (portRef C (instanceRef k_cpu_we_3_1_tz_RNIKIKA3))
          ))
          (net (rename un1_state_68_0 "un1_state_68[0]") (joined
           (portRef Z (instanceRef un1_state_68_0))
           (portRef (member un1_state_68 0))
          ))
          (net (rename k_memhi_0 "k_memhi[0]") (joined
           (portRef (member k_memhi 7))
           (portRef D (instanceRef datamux_o_alu_in_right_path_data_8))
           (portRef D (instanceRef sub16_w_cry_7_0_RNO))
           (portRef B (instanceRef datamux_o_dest_0_8))
           (portRef C (instanceRef datamux_o_alu_in_left_path_data_8))
           (portRef D (instanceRef k_new_pc_pipe_19))
          ))
          (net N_352_0 (joined
           (portRef N_352_0)
           (portRef D (instanceRef datamux_o_alu_in_left_path_data_8))
           (portRef B (instanceRef k_cpu_data_o_7_bm_0))
          ))
          (net (rename k_memhi_6 "k_memhi[6]") (joined
           (portRef (member k_memhi 1))
           (portRef D (instanceRef datamux_o_alu_in_right_path_data_14))
           (portRef D (instanceRef sub16_w_cry_13_0_RNO_0))
           (portRef B (instanceRef datamux_o_dest_0_14))
           (portRef C (instanceRef datamux_o_alu_in_left_path_data_14))
           (portRef D (instanceRef k_new_pc_pipe_33))
          ))
          (net N_358 (joined
           (portRef N_358)
           (portRef D (instanceRef datamux_o_alu_in_left_path_data_14))
           (portRef B (instanceRef k_cpu_data_o_7_bm_6))
          ))
          (net N_1013 (joined
           (portRef Z (instanceRef datamux_o_alu_in_right_path_data_1_15))
           (portRef A (instanceRef datamux_o_alu_in_right_path_data_15))
           (portRef A (instanceRef datamux_o_alu_in_right_path_data_13))
           (portRef A (instanceRef datamux_o_alu_in_right_path_data_12))
           (portRef A (instanceRef datamux_o_alu_in_right_path_data_11))
           (portRef A (instanceRef datamux_o_alu_in_right_path_data_10))
           (portRef A (instanceRef datamux_o_alu_in_right_path_data_9))
           (portRef A (instanceRef sub16_w_cry_15_0_RNO))
           (portRef A (instanceRef sub16_w_cry_13_0_RNO))
           (portRef A (instanceRef sub16_w_cry_11_0_RNO_0))
           (portRef A (instanceRef sub16_w_cry_11_0_RNO))
           (portRef A (instanceRef sub16_w_cry_9_0_RNO_0))
           (portRef A (instanceRef sub16_w_cry_9_0_RNO))
          ))
          (net (rename datamux_o_alu_in_right_path_data_i_9 "datamux_o_alu_in_right_path_data_i[9]") (joined
           (portRef Z (instanceRef sub16_w_cry_9_0_RNO))
           (portRef A0 (instanceRef sub16_w_cry_9_0))
          ))
          (net (rename dec_o_right_path_addr_3 "dec_o_right_path_addr[3]") (joined
           (portRef dec_o_right_path_addr_3)
           (portRef C (instanceRef datamux_o_alu_in_right_path_data_0_sqmuxa_846))
           (portRef C (instanceRef datamux_o_alu_in_right_path_data_14))
           (portRef C (instanceRef datamux_o_alu_in_right_path_data_8))
           (portRef C (instanceRef sub16_w_cry_13_0_RNO_0))
           (portRef C (instanceRef sub16_w_cry_7_0_RNO))
           (portRef C (instanceRef datamux_o_alu_in_right_path_data_15))
           (portRef C (instanceRef datamux_o_alu_in_right_path_data_13))
           (portRef C (instanceRef datamux_o_alu_in_right_path_data_12))
           (portRef C (instanceRef datamux_o_alu_in_right_path_data_11))
           (portRef C (instanceRef datamux_o_alu_in_right_path_data_10))
           (portRef C (instanceRef datamux_o_alu_in_right_path_data_9))
           (portRef C (instanceRef sub16_w_cry_15_0_RNO))
           (portRef C (instanceRef sub16_w_cry_13_0_RNO))
           (portRef C (instanceRef sub16_w_cry_11_0_RNO_0))
           (portRef C (instanceRef sub16_w_cry_11_0_RNO))
           (portRef C (instanceRef sub16_w_cry_9_0_RNO_0))
           (portRef C (instanceRef sub16_w_cry_9_0_RNO))
          ))
          (net (rename datamux_o_alu_in_right_path_data_i_10 "datamux_o_alu_in_right_path_data_i[10]") (joined
           (portRef Z (instanceRef sub16_w_cry_9_0_RNO_0))
           (portRef A1 (instanceRef sub16_w_cry_9_0))
          ))
          (net (rename datamux_o_alu_in_right_path_data_i_11 "datamux_o_alu_in_right_path_data_i[11]") (joined
           (portRef Z (instanceRef sub16_w_cry_11_0_RNO))
           (portRef A0 (instanceRef sub16_w_cry_11_0))
          ))
          (net (rename datamux_o_alu_in_right_path_data_i_12 "datamux_o_alu_in_right_path_data_i[12]") (joined
           (portRef Z (instanceRef sub16_w_cry_11_0_RNO_0))
           (portRef A1 (instanceRef sub16_w_cry_11_0))
          ))
          (net (rename datamux_o_alu_in_right_path_data_i_13 "datamux_o_alu_in_right_path_data_i[13]") (joined
           (portRef Z (instanceRef sub16_w_cry_13_0_RNO))
           (portRef A0 (instanceRef sub16_w_cry_13_0))
          ))
          (net (rename k_memlo_1 "k_memlo[1]") (joined
           (portRef (member k_memlo 6))
           (portRef B (instanceRef datamux_o_alu_in_left_path_data_d_d_1_1_1))
           (portRef C (instanceRef datamux_o_alu_in_right_path_data_1_0_1))
           (portRef B (instanceRef datamux_o_dest_0_1))
           (portRef C (instanceRef sub8_w_cry_1_0_RNO))
           (portRef D (instanceRef q16_17_0_a3_1))
          ))
          (net N_1769_i (joined
           (portRef Z (instanceRef sub8_w_cry_1_0_RNO))
           (portRef A0 (instanceRef sub8_w_cry_1_0))
          ))
          (net N_1000 (joined
           (portRef Z (instanceRef datamux_o_alu_in_right_path_data_1_2))
           (portRef A (instanceRef datamux_o_alu_in_right_path_data_2))
           (portRef A (instanceRef sub8_w_cry_1_0_RNO_0))
          ))
          (net N_1773_i (joined
           (portRef Z (instanceRef sub8_w_cry_1_0_RNO_0))
           (portRef A1 (instanceRef sub8_w_cry_1_0))
          ))
          (net N_1001 (joined
           (portRef Z (instanceRef datamux_o_alu_in_right_path_data_1_3))
           (portRef A (instanceRef datamux_o_alu_in_right_path_data_3))
           (portRef A (instanceRef sub8_w_cry_3_0_RNO))
          ))
          (net N_1777_i (joined
           (portRef Z (instanceRef sub8_w_cry_3_0_RNO))
           (portRef A0 (instanceRef sub8_w_cry_3_0))
          ))
          (net N_1002 (joined
           (portRef Z (instanceRef datamux_o_alu_in_right_path_data_1_4))
           (portRef A (instanceRef datamux_o_alu_in_right_path_data_4))
           (portRef A (instanceRef sub8_w_cry_3_0_RNO_0))
          ))
          (net N_1781_i (joined
           (portRef Z (instanceRef sub8_w_cry_3_0_RNO_0))
           (portRef A1 (instanceRef sub8_w_cry_3_0))
          ))
          (net N_1003 (joined
           (portRef Z (instanceRef datamux_o_alu_in_right_path_data_1_5))
           (portRef A (instanceRef datamux_o_alu_in_right_path_data_5))
           (portRef A (instanceRef sub8_w_cry_5_0_RNO))
          ))
          (net N_1785_i (joined
           (portRef Z (instanceRef sub8_w_cry_5_0_RNO))
           (portRef A0 (instanceRef sub8_w_cry_5_0))
          ))
          (net N_1004 (joined
           (portRef Z (instanceRef datamux_o_alu_in_right_path_data_1_6))
           (portRef A (instanceRef datamux_o_alu_in_right_path_data_6))
           (portRef A (instanceRef sub8_w_cry_5_0_RNO_0))
          ))
          (net N_1789_i (joined
           (portRef Z (instanceRef sub8_w_cry_5_0_RNO_0))
           (portRef A1 (instanceRef sub8_w_cry_5_0))
          ))
          (net N_1793_i (joined
           (portRef Z (instanceRef sub8_w_cry_7_0_RNO))
           (portRef A0 (instanceRef sub8_w_cry_7_0))
          ))
          (net (rename datamux_o_alu_in_right_path_data_i_15 "datamux_o_alu_in_right_path_data_i[15]") (joined
           (portRef Z (instanceRef sub16_w_cry_15_0_RNO))
           (portRef A0 (instanceRef sub16_w_cry_15_0))
          ))
          (net next_state14 (joined
           (portRef next_state14)
           (portRef C (instanceRef next_state_10_1_791_a5))
           (portRef D (instanceRef N_1771_i))
           (portRef C (instanceRef next_mem_state_1_sqmuxa))
          ))
          (net N_1771_i (joined
           (portRef Z (instanceRef N_1771_i))
           (portRef N_1771_i)
          ))
          (net N_1753_1 (joined
           (portRef N_1753_1)
           (portRef A (instanceRef state_23_0_iv_352_a7_1))
           (portRef A (instanceRef next_state_10_3_744_a5))
           (portRef A (instanceRef next_state_10_0))
          ))
          (net N_1792 (joined
           (portRef N_1792)
           (portRef A (instanceRef next_state_10_1_791_a5))
          ))
          (net un1_k_cpu_addr_6_sqmuxa (joined
           (portRef Z (instanceRef un1_k_cpu_addr_6_sqmuxa))
           (portRef C (instanceRef un1_k_cpu_addr_1_sqmuxa))
           (portRef D (instanceRef un1_k_cpu_addr_2_sqmuxa_1))
          ))
          (net N_1169 (joined
           (portRef Z (instanceRef datamux_o_dest_0_1))
           (portRef A (instanceRef datamux_o_dest_1))
          ))
          (net (rename alu_o_result_1 "alu_o_result[1]") (joined
           (portRef Z (instanceRef q_out_1_1))
           (portRef C (instanceRef datamux_o_dest_1))
          ))
          (net (rename datamux_o_dest_1 "datamux_o_dest[1]") (joined
           (portRef Z (instanceRef datamux_o_dest_1))
           (portRef A (instanceRef k_cpu_data_o_7_0_1))
           (portRef datamux_o_dest_1)
          ))
          (net N_1170 (joined
           (portRef Z (instanceRef datamux_o_dest_0_2))
           (portRef A (instanceRef datamux_o_dest_2))
          ))
          (net N_1184 (joined
           (portRef N_1184)
           (portRef C0 (instanceRef datamux_o_dest_0))
           (portRef C0 (instanceRef datamux_o_dest_7))
           (portRef B (instanceRef datamux_o_dest_15))
           (portRef B (instanceRef datamux_o_dest_14))
           (portRef B (instanceRef datamux_o_dest_13))
           (portRef B (instanceRef datamux_o_dest_12))
           (portRef B (instanceRef datamux_o_dest_10))
           (portRef B (instanceRef datamux_o_dest_9))
           (portRef B (instanceRef datamux_o_dest_8))
           (portRef B (instanceRef datamux_o_dest_6))
           (portRef B (instanceRef datamux_o_dest_5))
           (portRef B (instanceRef datamux_o_dest_4))
           (portRef B (instanceRef datamux_o_dest_3))
           (portRef B (instanceRef datamux_o_dest_2))
           (portRef B (instanceRef datamux_o_dest_1))
          ))
          (net (rename alu_o_result_2 "alu_o_result[2]") (joined
           (portRef Z (instanceRef q_out_1_2))
           (portRef C (instanceRef datamux_o_dest_2))
          ))
          (net (rename datamux_o_dest_2 "datamux_o_dest[2]") (joined
           (portRef Z (instanceRef datamux_o_dest_2))
           (portRef A (instanceRef k_cpu_data_o_7_0_2))
           (portRef datamux_o_dest_2)
          ))
          (net N_1171 (joined
           (portRef Z (instanceRef datamux_o_dest_0_3))
           (portRef A (instanceRef datamux_o_dest_3))
          ))
          (net (rename alu_o_result_3 "alu_o_result[3]") (joined
           (portRef Z (instanceRef q_out_1_3))
           (portRef C (instanceRef datamux_o_dest_3))
          ))
          (net (rename datamux_o_dest_3 "datamux_o_dest[3]") (joined
           (portRef Z (instanceRef datamux_o_dest_3))
           (portRef A (instanceRef k_cpu_data_o_7_0_3))
           (portRef datamux_o_dest_3)
          ))
          (net N_1172 (joined
           (portRef Z (instanceRef datamux_o_dest_0_4))
           (portRef A (instanceRef datamux_o_dest_4))
          ))
          (net (rename alu_o_result_4 "alu_o_result[4]") (joined
           (portRef Z (instanceRef q_out_1_4))
           (portRef C (instanceRef datamux_o_dest_4))
          ))
          (net (rename datamux_o_dest_4 "datamux_o_dest[4]") (joined
           (portRef Z (instanceRef datamux_o_dest_4))
           (portRef A (instanceRef k_cpu_data_o_7_0_4))
           (portRef datamux_o_dest_4)
          ))
          (net N_1173 (joined
           (portRef Z (instanceRef datamux_o_dest_0_5))
           (portRef A (instanceRef datamux_o_dest_5))
          ))
          (net (rename alu_o_result_5 "alu_o_result[5]") (joined
           (portRef Z (instanceRef q_out_1_5))
           (portRef C (instanceRef datamux_o_dest_5))
          ))
          (net (rename datamux_o_dest_5 "datamux_o_dest[5]") (joined
           (portRef Z (instanceRef datamux_o_dest_5))
           (portRef A (instanceRef k_cpu_data_o_7_0_5))
           (portRef datamux_o_dest_5)
          ))
          (net N_1174 (joined
           (portRef Z (instanceRef datamux_o_dest_0_6))
           (portRef A (instanceRef datamux_o_dest_6))
          ))
          (net (rename alu_o_result_6 "alu_o_result[6]") (joined
           (portRef Z (instanceRef q_out_1_6))
           (portRef C (instanceRef datamux_o_dest_6))
          ))
          (net (rename datamux_o_dest_6 "datamux_o_dest[6]") (joined
           (portRef Z (instanceRef datamux_o_dest_6))
           (portRef A (instanceRef k_cpu_data_o_7_am_6))
           (portRef datamux_o_dest_6)
          ))
          (net N_1176 (joined
           (portRef Z (instanceRef datamux_o_dest_0_8))
           (portRef A (instanceRef datamux_o_dest_8))
          ))
          (net (rename alu_o_result_8 "alu_o_result[8]") (joined
           (portRef Z (instanceRef q16_27_8))
           (portRef A (instanceRef z16_2_11))
           (portRef C (instanceRef datamux_o_dest_8))
          ))
          (net (rename datamux_o_dest_8 "datamux_o_dest[8]") (joined
           (portRef Z (instanceRef datamux_o_dest_8))
           (portRef datamux_o_dest_8)
          ))
          (net N_1177 (joined
           (portRef Z (instanceRef datamux_o_dest_0_9))
           (portRef A (instanceRef datamux_o_dest_9))
          ))
          (net (rename alu_o_result_9 "alu_o_result[9]") (joined
           (portRef Z (instanceRef q16_27_9))
           (portRef A (instanceRef z16_2_10))
           (portRef C (instanceRef datamux_o_dest_9))
          ))
          (net (rename datamux_o_dest_9 "datamux_o_dest[9]") (joined
           (portRef Z (instanceRef datamux_o_dest_9))
           (portRef datamux_o_dest_9)
          ))
          (net N_1178 (joined
           (portRef Z (instanceRef datamux_o_dest_0_10))
           (portRef A (instanceRef datamux_o_dest_10))
          ))
          (net (rename alu_o_result_10 "alu_o_result[10]") (joined
           (portRef Z (instanceRef q16_27_10))
           (portRef B (instanceRef z16_2_11))
           (portRef C (instanceRef datamux_o_dest_10))
          ))
          (net (rename datamux_o_dest_10 "datamux_o_dest[10]") (joined
           (portRef Z (instanceRef datamux_o_dest_10))
           (portRef datamux_o_dest_10)
          ))
          (net N_1180 (joined
           (portRef Z (instanceRef datamux_o_dest_0_12))
           (portRef A (instanceRef datamux_o_dest_12))
          ))
          (net (rename alu_o_result_12 "alu_o_result[12]") (joined
           (portRef Z (instanceRef q16_27_12))
           (portRef B (instanceRef z16_2_10))
           (portRef C (instanceRef datamux_o_dest_12))
          ))
          (net (rename datamux_o_dest_12 "datamux_o_dest[12]") (joined
           (portRef Z (instanceRef datamux_o_dest_12))
           (portRef datamux_o_dest_12)
          ))
          (net N_1181 (joined
           (portRef Z (instanceRef datamux_o_dest_0_13))
           (portRef A (instanceRef datamux_o_dest_13))
          ))
          (net (rename alu_o_result_13 "alu_o_result[13]") (joined
           (portRef Z (instanceRef q16_27_13))
           (portRef C (instanceRef z16_2_10))
           (portRef C (instanceRef datamux_o_dest_13))
          ))
          (net (rename datamux_o_dest_13 "datamux_o_dest[13]") (joined
           (portRef Z (instanceRef datamux_o_dest_13))
           (portRef datamux_o_dest_13)
          ))
          (net N_1182 (joined
           (portRef Z (instanceRef datamux_o_dest_0_14))
           (portRef A (instanceRef datamux_o_dest_14))
          ))
          (net (rename alu_o_result_14 "alu_o_result[14]") (joined
           (portRef Z (instanceRef q16_27_14))
           (portRef D (instanceRef z16_2_10))
           (portRef C (instanceRef datamux_o_dest_14))
          ))
          (net (rename datamux_o_dest_14 "datamux_o_dest[14]") (joined
           (portRef Z (instanceRef datamux_o_dest_14))
           (portRef datamux_o_dest_14)
          ))
          (net N_1183 (joined
           (portRef Z (instanceRef datamux_o_dest_0_15))
           (portRef A (instanceRef datamux_o_dest_15))
          ))
          (net (rename datamux_o_dest_15 "datamux_o_dest[15]") (joined
           (portRef Z (instanceRef datamux_o_dest_15))
           (portRef datamux_o_dest_15)
          ))
          (net N_331 (joined
           (portRef N_331)
           (portRef D (instanceRef datamux_o_alu_in_left_path_data_2))
           (portRef A (instanceRef regq8_pipe_62_RNO))
          ))
          (net N_333 (joined
           (portRef N_333)
           (portRef D (instanceRef datamux_o_alu_in_left_path_data_4))
           (portRef A (instanceRef regq8_pipe_40_RNO))
          ))
          (net state_3_sqmuxa_0 (joined
           (portRef Z (instanceRef state_3_sqmuxa_0))
           (portRef A (instanceRef state_3_sqmuxa))
          ))
          (net (rename dec_o_p1_mode_0 "dec_o_p1_mode[0]") (joined
           (portRef (member dec_o_p1_mode 2))
           (portRef B (instanceRef state_3_sqmuxa))
           (portRef A (instanceRef k_memhi_0_sqmuxa))
          ))
          (net (rename datamux_o_alu_in_left_path_data_a2_0 "datamux_o_alu_in_left_path_data_a2[0]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_data_a2_0))
           (portRef A (instanceRef datamux_o_alu_in_left_path_data_0_2_0))
          ))
          (net (rename datamux_o_alu_in_left_path_addr_1_RNI208S7_1 "datamux_o_alu_in_left_path_addr_1_RNI208S7[1]") (joined
           (portRef (member datamux_o_alu_in_left_path_addr_1_rni208s7 0))
           (portRef D (instanceRef datamux_o_alu_in_left_path_data_0_0))
           (portRef D (instanceRef datamux_o_alu_in_left_path_data_0_2_0))
           (portRef C (instanceRef k_cpu_data_o_7_am_0))
          ))
          (net (rename k_memlo_2 "k_memlo[2]") (joined
           (portRef (member k_memlo 5))
           (portRef B (instanceRef datamux_o_alu_in_left_path_data_d_d_2))
           (portRef B (instanceRef datamux_o_dest_0_2))
           (portRef C (instanceRef datamux_o_alu_in_right_path_data_2))
           (portRef C (instanceRef sub8_w_cry_1_0_RNO_0))
          ))
          (net (rename k_memlo_6 "k_memlo[6]") (joined
           (portRef (member k_memlo 1))
           (portRef B (instanceRef datamux_o_alu_in_left_path_data_d_d_6))
           (portRef B (instanceRef datamux_o_dest_0_6))
           (portRef C (instanceRef datamux_o_alu_in_right_path_data_6))
           (portRef C (instanceRef sub8_w_cry_5_0_RNO_0))
          ))
          (net (rename k_memlo_5 "k_memlo[5]") (joined
           (portRef (member k_memlo 2))
           (portRef B (instanceRef datamux_o_alu_in_left_path_data_d_d_5))
           (portRef B (instanceRef datamux_o_dest_0_5))
           (portRef C (instanceRef datamux_o_alu_in_right_path_data_5))
           (portRef C (instanceRef sub8_w_cry_5_0_RNO))
          ))
          (net (rename k_memlo_3 "k_memlo[3]") (joined
           (portRef (member k_memlo 4))
           (portRef B (instanceRef datamux_o_alu_in_left_path_data_d_d_3))
           (portRef B (instanceRef datamux_o_dest_0_3))
           (portRef C (instanceRef datamux_o_alu_in_right_path_data_3))
           (portRef C (instanceRef sub8_w_cry_3_0_RNO))
          ))
          (net (rename k_memlo_4 "k_memlo[4]") (joined
           (portRef (member k_memlo 3))
           (portRef B (instanceRef datamux_o_alu_in_left_path_data_d_d_4))
           (portRef B (instanceRef datamux_o_dest_0_4))
           (portRef C (instanceRef datamux_o_alu_in_right_path_data_4))
           (portRef C (instanceRef sub8_w_cry_3_0_RNO_0))
          ))
          (net k_cpu_addr_4_sqmuxa (joined
           (portRef k_cpu_addr_4_sqmuxa)
           (portRef A (instanceRef un1_k_cpu_addr_1_sqmuxa))
           (portRef B (instanceRef un1_k_cpu_addr_2_sqmuxa_1))
          ))
          (net state82 (joined
           (portRef state82)
           (portRef B (instanceRef un1_k_cpu_addr_1_sqmuxa))
           (portRef C (instanceRef un1_k_cpu_addr_2_sqmuxa_1))
          ))
          (net (rename regs_o_eamem_addr_1 "regs_o_eamem_addr[1]") (joined
           (portRef regs_o_eamem_addr_1)
           (portRef C (instanceRef datamux_o_dest_0_1))
           (portRef A (instanceRef k_cpu_addr_26_iv_0_1))
          ))
          (net N_1167 (joined
           (portRef N_1167)
           (portRef A (instanceRef datamux_o_dest_0_0))
           (portRef A (instanceRef datamux_o_dest_0_15))
           (portRef A (instanceRef datamux_o_dest_0_14))
           (portRef A (instanceRef datamux_o_dest_0_13))
           (portRef A (instanceRef datamux_o_dest_0_12))
           (portRef A (instanceRef datamux_o_dest_0_10))
           (portRef A (instanceRef datamux_o_dest_0_9))
           (portRef A (instanceRef datamux_o_dest_0_8))
           (portRef A (instanceRef datamux_o_dest_0_7))
           (portRef A (instanceRef datamux_o_dest_0_6))
           (portRef A (instanceRef datamux_o_dest_0_5))
           (portRef A (instanceRef datamux_o_dest_0_4))
           (portRef A (instanceRef datamux_o_dest_0_3))
           (portRef A (instanceRef datamux_o_dest_0_2))
           (portRef A (instanceRef datamux_o_dest_0_1))
          ))
          (net (rename regs_o_eamem_addr_2 "regs_o_eamem_addr[2]") (joined
           (portRef regs_o_eamem_addr_2)
           (portRef C (instanceRef datamux_o_dest_0_2))
          ))
          (net (rename regs_o_eamem_addr_4 "regs_o_eamem_addr[4]") (joined
           (portRef regs_o_eamem_addr_4)
           (portRef C (instanceRef datamux_o_dest_0_4))
           (portRef A (instanceRef k_cpu_addr_26_iv_0_4))
          ))
          (net (rename regs_o_eamem_addr_5 "regs_o_eamem_addr[5]") (joined
           (portRef regs_o_eamem_addr_5)
           (portRef C (instanceRef datamux_o_dest_0_5))
          ))
          (net (rename regs_o_eamem_addr_6 "regs_o_eamem_addr[6]") (joined
           (portRef regs_o_eamem_addr_6)
           (portRef C (instanceRef datamux_o_dest_0_6))
           (portRef A (instanceRef k_cpu_addr_26_iv_0_6))
          ))
          (net N_1175 (joined
           (portRef Z (instanceRef datamux_o_dest_0_7))
           (portRef BLUT (instanceRef datamux_o_dest_7))
          ))
          (net (rename regs_o_eamem_addr_9 "regs_o_eamem_addr[9]") (joined
           (portRef regs_o_eamem_addr_9)
           (portRef C (instanceRef datamux_o_dest_0_9))
          ))
          (net (rename regs_o_eamem_addr_10 "regs_o_eamem_addr[10]") (joined
           (portRef regs_o_eamem_addr_10)
           (portRef C (instanceRef datamux_o_dest_0_10))
          ))
          (net (rename regs_o_eamem_addr_13 "regs_o_eamem_addr[13]") (joined
           (portRef regs_o_eamem_addr_13)
           (portRef C (instanceRef datamux_o_dest_0_13))
          ))
          (net (rename k_memlo_0 "k_memlo[0]") (joined
           (portRef (member k_memlo 7))
           (portRef D (instanceRef datamux_o_alu_in_left_path_data_0_1_0_0))
           (portRef B (instanceRef datamux_o_dest_0_0))
           (portRef C (instanceRef datamux_o_alu_in_left_path_data_0_2_0))
          ))
          (net (rename regs_o_eamem_addr_0 "regs_o_eamem_addr[0]") (joined
           (portRef regs_o_eamem_addr_0)
           (portRef C (instanceRef datamux_o_dest_0_0))
          ))
          (net N_1168 (joined
           (portRef Z (instanceRef datamux_o_dest_0_0))
           (portRef BLUT (instanceRef datamux_o_dest_0))
          ))
          (net (rename datamux_o_alu_in_left_path_data_d_d_1 "datamux_o_alu_in_left_path_data_d_d[1]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_data_d_d_1))
           (portRef A (instanceRef datamux_o_alu_in_left_path_data_d_1))
          ))
          (net N_296 (joined
           (portRef N_296)
           (portRef C (instanceRef datamux_o_alu_in_left_path_data_d_1))
          ))
          (net (rename datamux_o_alu_in_left_path_data_d_d_5 "datamux_o_alu_in_left_path_data_d_d[5]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_data_d_d_5))
           (portRef A (instanceRef datamux_o_alu_in_left_path_data_d_5))
          ))
          (net N_300 (joined
           (portRef N_300)
           (portRef C (instanceRef datamux_o_alu_in_left_path_data_d_5))
          ))
          (net N_327 (joined
           (portRef N_327)
           (portRef D (instanceRef datamux_o_alu_in_left_path_data_d_6))
           (portRef D (instanceRef datamux_o_alu_in_left_path_data_d_7))
           (portRef D (instanceRef datamux_o_alu_in_left_path_data_d_5))
           (portRef D (instanceRef datamux_o_alu_in_left_path_data_d_1))
          ))
          (net (rename datamux_o_alu_in_left_path_data_d_d_7 "datamux_o_alu_in_left_path_data_d_d[7]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_data_d_d_7))
           (portRef A (instanceRef datamux_o_alu_in_left_path_data_d_7))
          ))
          (net N_302 (joined
           (portRef N_302)
           (portRef C (instanceRef datamux_o_alu_in_left_path_data_d_7))
          ))
          (net (rename datamux_o_alu_in_left_path_data_d_d_6 "datamux_o_alu_in_left_path_data_d_d[6]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_data_d_d_6))
           (portRef A (instanceRef datamux_o_alu_in_left_path_data_d_6))
          ))
          (net N_301 (joined
           (portRef N_301)
           (portRef C (instanceRef datamux_o_alu_in_left_path_data_d_6))
          ))
          (net N_177 (joined
           (portRef N_177)
           (portRef A (instanceRef datamux_o_alu_in_right_path_data_1_0_1))
           (portRef A (instanceRef datamux_o_alu_in_right_path_data_14))
           (portRef A (instanceRef datamux_o_alu_in_right_path_data_8))
           (portRef A (instanceRef sub16_w_cry_13_0_RNO_0))
           (portRef A (instanceRef sub16_w_cry_7_0_RNO))
           (portRef B (instanceRef datamux_o_alu_in_right_path_data_1_4))
           (portRef A (instanceRef datamux_o_alu_in_right_path_data_1_5))
           (portRef A (instanceRef datamux_o_alu_in_right_path_data_1_6))
           (portRef B (instanceRef datamux_o_alu_in_right_path_data_1_15))
           (portRef A (instanceRef datamux_o_alu_in_right_path_data_1_1))
          ))
          (net N_1968 (joined
           (portRef N_1968)
           (portRef B (instanceRef datamux_o_alu_in_right_path_data_1))
           (portRef C (instanceRef datamux_o_alu_in_right_path_data_1_4))
           (portRef C0 (instanceRef datamux_o_alu_in_right_path_data_1_3))
           (portRef B (instanceRef datamux_o_alu_in_right_path_data_1_5))
           (portRef B (instanceRef datamux_o_alu_in_right_path_data_1_6))
           (portRef C0 (instanceRef datamux_o_alu_in_right_path_data_1_7))
           (portRef C0 (instanceRef datamux_o_alu_in_right_path_data_1_2))
           (portRef C (instanceRef datamux_o_alu_in_right_path_data_1_15))
           (portRef B (instanceRef datamux_o_alu_in_right_path_data_1_1))
          ))
          (net N_98 (joined
           (portRef N_98)
           (portRef B (instanceRef datamux_o_alu_in_right_path_data27_2))
           (portRef A (instanceRef datamux_m2))
           (portRef A (instanceRef datamux_o_alu_in_right_path_data_0_sqmuxa_846))
           (portRef A (instanceRef datamux_o_alu_in_right_path_data_1_4))
           (portRef A (instanceRef datamux_o_alu_in_right_path_data_1_am_3))
           (portRef A (instanceRef datamux_o_alu_in_right_path_data_1_am_7))
           (portRef A (instanceRef datamux_o_alu_in_right_path_data_1_am_2))
           (portRef A (instanceRef datamux_o_alu_in_right_path_data_1_15))
          ))
          (net N_381 (joined
           (portRef N_381)
           (portRef C (instanceRef datamux_o_alu_in_right_path_data_1_am_2))
          ))
          (net (rename datamux_o_alu_in_right_path_data_1_am_2 "datamux_o_alu_in_right_path_data_1_am[2]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_right_path_data_1_am_2))
           (portRef BLUT (instanceRef datamux_o_alu_in_right_path_data_1_2))
          ))
          (net (rename k_opcode_0 "k_opcode[0]") (joined
           (portRef (member k_opcode 7))
           (portRef C (instanceRef datamux_o_alu_in_right_path_data_1_bm_3))
           (portRef C (instanceRef datamux_o_alu_in_right_path_data_1_bm_7))
           (portRef C (instanceRef datamux_o_alu_in_right_path_data_1_bm_2))
           (portRef C (instanceRef k_pp_regs_0_sqmuxa_2_1))
          ))
          (net (rename datamux_o_alu_in_right_path_data_1_bm_2 "datamux_o_alu_in_right_path_data_1_bm[2]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_right_path_data_1_bm_2))
           (portRef ALUT (instanceRef datamux_o_alu_in_right_path_data_1_2))
          ))
          (net N_386_0 (joined
           (portRef N_386_0)
           (portRef C (instanceRef datamux_o_alu_in_right_path_data_1_am_7))
          ))
          (net (rename datamux_o_alu_in_right_path_data_1_am_7 "datamux_o_alu_in_right_path_data_1_am[7]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_right_path_data_1_am_7))
           (portRef BLUT (instanceRef datamux_o_alu_in_right_path_data_1_7))
          ))
          (net N_116_i_0 (joined
           (portRef Z (instanceRef k_pp_regs_1_sqmuxa_2_2))
           (portRef A (instanceRef datamux_o_alu_in_right_path_data_1_bm_3))
           (portRef A (instanceRef datamux_o_alu_in_right_path_data_1_bm_7))
           (portRef A (instanceRef datamux_o_alu_in_right_path_data_1_bm_2))
           (portRef N_116_i_0)
          ))
          (net N_231 (joined
           (portRef N_231)
           (portRef B (instanceRef datamux_o_alu_in_right_path_data_1_bm_3))
           (portRef B (instanceRef datamux_o_alu_in_right_path_data_1_bm_7))
           (portRef B (instanceRef datamux_o_alu_in_right_path_data_1_bm_2))
          ))
          (net (rename k_opcode_2 "k_opcode[2]") (joined
           (portRef (member k_opcode 5))
           (portRef D (instanceRef datamux_o_alu_in_right_path_data_1_bm_3))
           (portRef D (instanceRef datamux_o_alu_in_right_path_data_1_bm_7))
           (portRef D (instanceRef datamux_o_alu_in_right_path_data_1_bm_2))
          ))
          (net (rename datamux_o_alu_in_right_path_data_1_bm_7 "datamux_o_alu_in_right_path_data_1_bm[7]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_right_path_data_1_bm_7))
           (portRef ALUT (instanceRef datamux_o_alu_in_right_path_data_1_7))
          ))
          (net (rename regs_o_right_path_data_5 "regs_o_right_path_data[6]") (joined
           (portRef regs_o_right_path_data_5)
           (portRef C (instanceRef datamux_o_alu_in_right_path_data_1_6))
          ))
          (net (rename regs_o_right_path_data_4 "regs_o_right_path_data[5]") (joined
           (portRef regs_o_right_path_data_4)
           (portRef C (instanceRef datamux_o_alu_in_right_path_data_1_5))
          ))
          (net N_382 (joined
           (portRef N_382)
           (portRef C (instanceRef datamux_o_alu_in_right_path_data_1_am_3))
          ))
          (net (rename datamux_o_alu_in_right_path_data_1_am_3 "datamux_o_alu_in_right_path_data_1_am[3]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_right_path_data_1_am_3))
           (portRef BLUT (instanceRef datamux_o_alu_in_right_path_data_1_3))
          ))
          (net (rename datamux_o_alu_in_right_path_data_1_bm_3 "datamux_o_alu_in_right_path_data_1_bm[3]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_right_path_data_1_bm_3))
           (portRef ALUT (instanceRef datamux_o_alu_in_right_path_data_1_3))
          ))
          (net N_383 (joined
           (portRef N_383)
           (portRef D (instanceRef datamux_o_alu_in_right_path_data_1_4))
          ))
          (net (rename datamux_o_alu_in_left_path_data_d_d_2 "datamux_o_alu_in_left_path_data_d_d[2]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_data_d_d_2))
           (portRef A (instanceRef datamux_o_alu_in_left_path_data_d_2))
          ))
          (net N_313_0 (joined
           (portRef N_313_0)
           (portRef D (instanceRef datamux_o_alu_in_left_path_data_d_2))
          ))
          (net (rename datamux_o_alu_in_left_path_data_d_d_4 "datamux_o_alu_in_left_path_data_d_d[4]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_data_d_d_4))
           (portRef A (instanceRef datamux_o_alu_in_left_path_data_d_4))
          ))
          (net (rename datamux_o_alu_in_left_path_addr_1_2 "datamux_o_alu_in_left_path_addr_1[2]") (joined
           (portRef (member datamux_o_alu_in_left_path_addr_1 0))
           (portRef C (instanceRef datamux_o_alu_in_left_path_data_a2_0_0))
           (portRef C (instanceRef datamux_o_alu_in_left_path_data_mb_1_mb_3))
           (portRef B (instanceRef datamux_o_alu_in_left_path_data_sx_7))
           (portRef D (instanceRef datamux_o_alu_in_left_path_data_0_1_x1_0))
           (portRef D (instanceRef datamux_o_alu_in_left_path_data_0_1_x0_0))
           (portRef C (instanceRef datamux_o_alu_in_left_path_data_0_1_1_0))
           (portRef B (instanceRef datamux_o_alu_in_left_path_data_d_4))
           (portRef B (instanceRef datamux_o_alu_in_left_path_data_d_2))
          ))
          (net N_315_0 (joined
           (portRef N_315_0)
           (portRef D (instanceRef datamux_o_alu_in_left_path_data_d_4))
          ))
          (net (rename IX_0 "IX[0]") (joined
           (portRef (member ix 0))
           (portRef C (instanceRef datamux_o_alu_in_left_path_data_a1_0_0))
          ))
          (net (rename SU_0 "SU[0]") (joined
           (portRef SU_0)
           (portRef D (instanceRef datamux_o_alu_in_left_path_data_a1_0_0))
          ))
          (net (rename datamux_o_alu_in_left_path_data_a1_0_0_0 "datamux_o_alu_in_left_path_data_a1_0_0[0]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_data_a1_0_0))
           (portRef C0 (instanceRef datamux_o_alu_in_left_path_data_0_1_0))
           (portRef C (instanceRef datamux_o_alu_in_left_path_data_0_sx_0))
          ))
          (net next_mem_state_8s2_0_411_i_0 (joined
           (portRef Z (instanceRef next_mem_state_8s2_0_411_i_0))
           (portRef A (instanceRef N_1470_i))
           (portRef next_mem_state_8s2_0_411_i_0)
          ))
          (net un75 (joined
           (portRef un75)
           (portRef C (instanceRef N_1470_i))
          ))
          (net N_1470_i (joined
           (portRef Z (instanceRef N_1470_i))
           (portRef N_1470_i)
          ))
          (net (rename datamux_o_alu_in_right_path_data_i_8 "datamux_o_alu_in_right_path_data_i[8]") (joined
           (portRef Z (instanceRef sub16_w_cry_7_0_RNO))
           (portRef A1 (instanceRef sub16_w_cry_7_0))
          ))
          (net (rename datamux_o_alu_in_right_path_data_i_14 "datamux_o_alu_in_right_path_data_i[14]") (joined
           (portRef Z (instanceRef sub16_w_cry_13_0_RNO_0))
           (portRef A1 (instanceRef sub16_w_cry_13_0))
          ))
          (net (rename datamux_o_alu_in_left_path_data_a2_0_0 "datamux_o_alu_in_left_path_data_a2_0[0]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_data_a2_0_0))
           (portRef B (instanceRef datamux_o_alu_in_left_path_data_0_1_0_0))
           (portRef A (instanceRef datamux_o_alu_in_left_path_data_a2_0))
          ))
          (net N_1445 (joined
           (portRef Z (instanceRef state_23_0_iv_352_a7_1))
           (portRef A (instanceRef state_23_0_iv_352_2))
          ))
          (net state_23_0_iv_352_0 (joined
           (portRef Z (instanceRef state_23_0_iv_352_0))
           (portRef B (instanceRef state_23_0_iv_352_2))
          ))
          (net (rename next_push_state_1 "next_push_state[1]") (joined
           (portRef (member next_push_state 0))
           (portRef C (instanceRef state_23_0_iv_352_2))
           (portRef B (instanceRef state_23_0_iv_352_a7_4_1))
          ))
          (net state_2_sqmuxa_6 (joined
           (portRef state_2_sqmuxa_6)
           (portRef D (instanceRef state_23_0_iv_352_2))
          ))
          (net N_264 (joined
           (portRef N_264)
           (portRef C (instanceRef datamux_o_alu_in_left_path_data_d_d_3))
          ))
          (net (rename datamux_o_alu_in_left_path_data_d_d_3 "datamux_o_alu_in_left_path_data_d_d[3]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_data_d_d_3))
           (portRef A (instanceRef datamux_o_alu_in_left_path_data_mb_1_mb_3))
          ))
          (net N_263 (joined
           (portRef N_263)
           (portRef C (instanceRef datamux_o_alu_in_left_path_data_d_d_2))
          ))
          (net N_265 (joined
           (portRef N_265)
           (portRef C (instanceRef datamux_o_alu_in_left_path_data_d_d_4))
          ))
          (net N_242 (joined
           (portRef N_242)
           (portRef C (instanceRef datamux_o_alu_in_left_path_data_d_d_5))
          ))
          (net N_244 (joined
           (portRef N_244)
           (portRef C (instanceRef datamux_o_alu_in_left_path_data_d_d_7))
          ))
          (net N_243 (joined
           (portRef N_243)
           (portRef C (instanceRef datamux_o_alu_in_left_path_data_d_d_6))
          ))
          (net z16_2_10 (joined
           (portRef Z (instanceRef z16_2_10))
           (portRef A (instanceRef z16_2))
          ))
          (net z16_2_11 (joined
           (portRef Z (instanceRef z16_2_11))
           (portRef B (instanceRef z16_2))
          ))
          (net z16_2_12 (joined
           (portRef Z (instanceRef z16_2_12))
           (portRef C (instanceRef z16_2))
          ))
          (net (rename sbc8_w_0 "sbc8_w[0]") (joined
           (portRef S1 (instanceRef sbc8_w_cry_0_0))
           (portRef A (instanceRef q8_8_0_a3_0))
          ))
          (net un1_k_pp_regs_3_sqmuxa (joined
           (portRef un1_k_pp_regs_3_sqmuxa)
           (portRef A (instanceRef k_pp_regs_27_bm_6))
           (portRef C (instanceRef k_pp_regs_4_sqmuxa_RNI2LEI3))
           (portRef C (instanceRef k_pp_regs_27_bm_4))
          ))
          (net (rename dec_o_p1_optype_0 "dec_o_p1_optype[0]") (joined
           (portRef (member dec_o_p1_optype 1))
           (portRef A (instanceRef next_state_cnst_i_o2_2_0))
          ))
          (net (rename dec_o_p1_optype_1 "dec_o_p1_optype[1]") (joined
           (portRef (member dec_o_p1_optype 0))
           (portRef B (instanceRef next_state_cnst_i_o2_2_0))
          ))
          (net N_19_m (joined
           (portRef N_19_m)
           (portRef C (instanceRef next_state_cnst_i_o2_2_0))
          ))
          (net (rename CCRo_am_1 "CCRo_am[1]") (joined
           (portRef Z (instanceRef CCRo_am_1))
           (portRef BLUT (instanceRef CCRo_1))
          ))
          (net v8 (joined
           (portRef Z (instanceRef v8_18))
           (portRef A (instanceRef CCRo_bm_1))
          ))
          (net v16 (joined
           (portRef Z (instanceRef v16_20))
           (portRef B (instanceRef CCRo_bm_1))
          ))
          (net (rename CCRo_bm_1 "CCRo_bm[1]") (joined
           (portRef Z (instanceRef CCRo_bm_1))
           (portRef ALUT (instanceRef CCRo_1))
          ))
          (net z8_2_0 (joined
           (portRef Z (instanceRef z8_2_0))
           (portRef A (instanceRef z8_2))
          ))
          (net z8_2_1 (joined
           (portRef Z (instanceRef z8_2_1))
           (portRef B (instanceRef z8_2))
          ))
          (net z8_2_2 (joined
           (portRef Z (instanceRef z8_2_2))
           (portRef C (instanceRef z8_2))
          ))
          (net z8_2_3 (joined
           (portRef Z (instanceRef z8_2_3))
           (portRef D (instanceRef z8_2))
          ))
          (net (rename regq16_4 "regq16[4]") (joined
           (portRef Z (instanceRef q16_27_4))
           (portRef A (instanceRef z16_2_12))
          ))
          (net (rename regq16_7 "regq16[7]") (joined
           (portRef Z (instanceRef q16_27_7))
           (portRef B (instanceRef q_out_1_7))
           (portRef B (instanceRef z16_2_12))
          ))
          (net z16_2_1 (joined
           (portRef Z (instanceRef z16_2_1))
           (portRef C (instanceRef z16_2_12))
          ))
          (net un1_state_62 (joined
           (portRef un1_state_62)
           (portRef D (instanceRef un1_state_97))
           (portRef C (instanceRef un1_state_97_RNINJB31))
           (portRef D (instanceRef un1_k_cpu_addr_6_sqmuxa))
           (portRef A (instanceRef k_cpu_we_3_1_tz_RNIKIKA3))
          ))
          (net (rename q_out_1_am_2 "q_out_1_am[2]") (joined
           (portRef Z (instanceRef q_out_1_am_2))
           (portRef BLUT (instanceRef q_out_1_2))
          ))
          (net N_507 (joined
           (portRef Z (instanceRef q8_27_2))
           (portRef B (instanceRef z8_2_2))
           (portRef B (instanceRef q_out_1_bm_2))
          ))
          (net (rename q_out_1_bm_2 "q_out_1_bm[2]") (joined
           (portRef Z (instanceRef q_out_1_bm_2))
           (portRef ALUT (instanceRef q_out_1_2))
          ))
          (net N_924 (joined
           (portRef Z (instanceRef q16_26_3))
           (portRef B (instanceRef q16_27_3))
           (portRef B (instanceRef q_out_1_am_3))
          ))
          (net (rename q_out_1_am_3 "q_out_1_am[3]") (joined
           (portRef Z (instanceRef q_out_1_am_3))
           (portRef BLUT (instanceRef q_out_1_3))
          ))
          (net N_508 (joined
           (portRef Z (instanceRef q8_27_3))
           (portRef B (instanceRef q8_28_3))
           (portRef B (instanceRef q_out_1_bm_3))
          ))
          (net (rename q_out_1_bm_3 "q_out_1_bm[3]") (joined
           (portRef Z (instanceRef q_out_1_bm_3))
           (portRef ALUT (instanceRef q_out_1_3))
          ))
          (net N_925 (joined
           (portRef Z (instanceRef q16_26_4))
           (portRef B (instanceRef q16_27_4))
           (portRef B (instanceRef q_out_1_am_4))
          ))
          (net (rename q_out_1_am_4 "q_out_1_am[4]") (joined
           (portRef Z (instanceRef q_out_1_am_4))
           (portRef BLUT (instanceRef q_out_1_4))
          ))
          (net N_509 (joined
           (portRef Z (instanceRef q8_27_4))
           (portRef B (instanceRef q8_28_4))
           (portRef B (instanceRef q_out_1_bm_4))
          ))
          (net (rename q_out_1_bm_4 "q_out_1_bm[4]") (joined
           (portRef Z (instanceRef q_out_1_bm_4))
           (portRef ALUT (instanceRef q_out_1_4))
          ))
          (net N_926 (joined
           (portRef Z (instanceRef q16_26_5))
           (portRef B (instanceRef z16_2_1))
           (portRef B (instanceRef q_out_1_am_5))
          ))
          (net (rename q_out_1_am_5 "q_out_1_am[5]") (joined
           (portRef Z (instanceRef q_out_1_am_5))
           (portRef BLUT (instanceRef q_out_1_5))
          ))
          (net N_510 (joined
           (portRef Z (instanceRef q8_27_5))
           (portRef B (instanceRef z8_2_1))
           (portRef B (instanceRef q_out_1_bm_5))
          ))
          (net (rename q_out_1_bm_5 "q_out_1_bm[5]") (joined
           (portRef Z (instanceRef q_out_1_bm_5))
           (portRef ALUT (instanceRef q_out_1_5))
          ))
          (net N_927 (joined
           (portRef Z (instanceRef q16_26_6))
           (portRef B (instanceRef q16_27_6))
           (portRef B (instanceRef q_out_1_am_6))
          ))
          (net (rename q_out_1_am_6 "q_out_1_am[6]") (joined
           (portRef Z (instanceRef q_out_1_am_6))
           (portRef BLUT (instanceRef q_out_1_6))
          ))
          (net N_511 (joined
           (portRef Z (instanceRef q8_27_6))
           (portRef B (instanceRef z8_2_0))
           (portRef B (instanceRef q_out_1_bm_6))
          ))
          (net (rename q_out_1_bm_6 "q_out_1_bm[6]") (joined
           (portRef Z (instanceRef q_out_1_bm_6))
           (portRef ALUT (instanceRef q_out_1_6))
          ))
          (net (rename regq8_0 "regq8[0]") (joined
           (portRef Z (instanceRef q8_28_0))
           (portRef C (instanceRef z8_2_3))
           (portRef A (instanceRef q_out_1_0))
          ))
          (net (rename alu_o_result_0 "alu_o_result[0]") (joined
           (portRef Z (instanceRef q_out_1_0))
           (portRef ALUT (instanceRef datamux_o_dest_0))
          ))
          (net (rename q_out_1_am_1 "q_out_1_am[1]") (joined
           (portRef Z (instanceRef q_out_1_am_1))
           (portRef BLUT (instanceRef q_out_1_1))
          ))
          (net N_402 (joined
           (portRef Z (instanceRef q8_14_1))
           (portRef A (instanceRef z8_2_3))
           (portRef A (instanceRef q_out_1_bm_1))
          ))
          (net N_506 (joined
           (portRef Z (instanceRef q8_27_1))
           (portRef B (instanceRef z8_2_3))
           (portRef B (instanceRef q_out_1_bm_1))
          ))
          (net (rename q_out_1_bm_1 "q_out_1_bm[1]") (joined
           (portRef Z (instanceRef q_out_1_bm_1))
           (portRef ALUT (instanceRef q_out_1_1))
          ))
          (net un1_state_97 (joined
           (portRef Z (instanceRef un1_state_97))
           (portRef D (instanceRef un1_state_97_RNINJB31))
          ))
          (net un1_state_97_RNINJB31 (joined
           (portRef Z (instanceRef un1_state_97_RNINJB31))
           (portRef un1_state_97_RNINJB31)
          ))
          (net datamux_m2 (joined
           (portRef Z (instanceRef datamux_m2))
           (portRef A (instanceRef datamux_o_alu_in_left_path_data_a0_0_sx_0))
           (portRef A (instanceRef datamux_m5_i))
          ))
          (net N_1439 (joined
           (portRef Z (instanceRef state_23_0_iv_352_m2))
           (portRef A (instanceRef state_23_0_iv_352_o7_1))
          ))
          (net (rename alu_o_result_7 "alu_o_result[7]") (joined
           (portRef Z (instanceRef q_out_1_7))
           (portRef ALUT (instanceRef datamux_o_dest_7))
          ))
          (net (rename regq8_4 "regq8[4]") (joined
           (portRef Z (instanceRef q8_28_4))
           (portRef C (instanceRef z8_2_1))
          ))
          (net (rename regq8_3 "regq8[3]") (joined
           (portRef Z (instanceRef q8_28_3))
           (portRef C (instanceRef z8_2_2))
          ))
          (net (rename regq16_6 "regq16[6]") (joined
           (portRef Z (instanceRef q16_27_6))
           (portRef C (instanceRef z16_2_1))
          ))
          (net state160 (joined
           (portRef state160)
           (portRef C (instanceRef state_23_0_iv_352_0))
           (portRef A (instanceRef state_23_0_iv_352_m2_am))
           (portRef A (instanceRef state_2_sqmuxa_5))
          ))
          (net state_23_0_iv_352_m2_am (joined
           (portRef Z (instanceRef state_23_0_iv_352_m2_am))
           (portRef BLUT (instanceRef state_23_0_iv_352_m2))
          ))
          (net un1_dec_o_ea_ofs8_1 (joined
           (portRef un1_dec_o_ea_ofs8_1)
           (portRef C (instanceRef un1_state_95_0))
           (portRef A (instanceRef state_23_0_iv_352_m2_bm))
           (portRef C (instanceRef state_23_0_iv_352_a7_1))
          ))
          (net state_23_0_iv_352_m2_bm (joined
           (portRef Z (instanceRef state_23_0_iv_352_m2_bm))
           (portRef ALUT (instanceRef state_23_0_iv_352_m2))
          ))
          (net datamux_o_alu_in_right_path_data27_1_0_o2_0_tz (joined
           (portRef Z (instanceRef datamux_o_alu_in_right_path_data27_1_0_o2_0_tz))
           (portRef A (instanceRef datamux_o_alu_in_right_path_data27_1_0_o2))
          ))
          (net (rename path_right_addr_2_f0_0_a3_1_0_3 "path_right_addr_2_f0_0_a3_1_0[3]") (joined
           (portRef (member path_right_addr_2_f0_0_a3_1_0 0))
           (portRef C (instanceRef datamux_o_alu_in_right_path_data27_1_0_o2))
          ))
          (net (rename path_right_addr_2_f0_0_o2_0_3 "path_right_addr_2_f0_0_o2_0[3]") (joined
           (portRef (member path_right_addr_2_f0_0_o2_0 0))
           (portRef D (instanceRef datamux_o_alu_in_right_path_data27_1_0_o2))
          ))
          (net datamux_o_alu_in_right_path_data29_1 (joined
           (portRef Z (instanceRef datamux_o_alu_in_right_path_data27_1_0_o2))
           (portRef B (instanceRef datamux_o_alu_in_right_path_data_0_sqmuxa_846))
           (portRef datamux_o_alu_in_right_path_data29_1)
          ))
          (net N_968f (joined
           (portRef Z (instanceRef v8_16))
           (portRef A (instanceRef v8_18_bm))
          ))
          (net v8_18_bm (joined
           (portRef Z (instanceRef v8_18_bm))
           (portRef ALUT (instanceRef v8_18))
          ))
          (net v8_18_am (joined
           (portRef Z (instanceRef v8_18_am))
           (portRef BLUT (instanceRef v8_18))
          ))
          (net N_977 (joined
           (portRef Z (instanceRef v16_7))
           (portRef A (instanceRef v16_20_am))
          ))
          (net N_981 (joined
           (portRef Z (instanceRef v16_11))
           (portRef B (instanceRef v16_20_am))
          ))
          (net v16_20_am (joined
           (portRef Z (instanceRef v16_20_am))
           (portRef BLUT (instanceRef v16_20))
          ))
          (net N_988 (joined
           (portRef Z (instanceRef v16_18))
           (portRef A (instanceRef v16_20_bm))
          ))
          (net v16_20_bm (joined
           (portRef Z (instanceRef v16_20_bm))
           (portRef ALUT (instanceRef v16_20))
          ))
          (net N_921 (joined
           (portRef Z (instanceRef q16_26_0))
           (portRef B (instanceRef q16_27_0))
          ))
          (net N_497 (joined
           (portRef Z (instanceRef q8_26_0))
           (portRef C (instanceRef q8_28_bm_0))
          ))
          (net (rename q8_28_bm_0 "q8_28_bm[0]") (joined
           (portRef Z (instanceRef q8_28_bm_0))
           (portRef ALUT (instanceRef q8_28_0))
          ))
          (net (rename q8_28_am_0 "q8_28_am[0]") (joined
           (portRef Z (instanceRef q8_28_am_0))
           (portRef BLUT (instanceRef q8_28_0))
          ))
          (net N_929 (joined
           (portRef Z (instanceRef q16_26_8))
           (portRef B (instanceRef q16_27_8))
          ))
          (net N_930 (joined
           (portRef Z (instanceRef q16_26_9))
           (portRef B (instanceRef q16_27_9))
          ))
          (net N_931 (joined
           (portRef Z (instanceRef q16_26_10))
           (portRef B (instanceRef q16_27_10))
          ))
          (net N_932 (joined
           (portRef Z (instanceRef q16_26_11))
           (portRef B (instanceRef q16_27_11))
          ))
          (net (rename alu_o_result_11 "alu_o_result[11]") (joined
           (portRef Z (instanceRef q16_27_11))
           (portRef C (instanceRef z16_2_11))
           (portRef alu_o_result_11)
          ))
          (net N_933 (joined
           (portRef Z (instanceRef q16_26_12))
           (portRef B (instanceRef q16_27_12))
          ))
          (net N_934 (joined
           (portRef Z (instanceRef q16_26_13))
           (portRef B (instanceRef q16_27_13))
          ))
          (net N_935 (joined
           (portRef Z (instanceRef q16_26_14))
           (portRef B (instanceRef q16_27_14))
          ))
          (net N_936 (joined
           (portRef Z (instanceRef q16_26_15))
           (portRef B (instanceRef q16_27_15))
          ))
          (net (rename k_ealo_cnv_0_0 "k_ealo_cnv_0[0]") (joined
           (portRef Z (instanceRef un3_cpu_reset_RNIM8E5))
           (portRef A (instanceRef un1_state_75_RNID6VJ2))
           (portRef B (instanceRef un1_state_97_RNINJB31))
           (portRef (member k_ealo_cnv_0 0))
          ))
          (net state_1_sqmuxa_8 (joined
           (portRef state_1_sqmuxa_8)
           (portRef B (instanceRef un1_state_75_RNID6VJ2))
          ))
          (net state_2_sqmuxa_5 (joined
           (portRef Z (instanceRef state_2_sqmuxa_5))
           (portRef C (instanceRef un1_state_75_RNID6VJ2))
           (portRef state_2_sqmuxa_5)
          ))
          (net un1_state_75 (joined
           (portRef Z (instanceRef un1_state_75))
           (portRef D (instanceRef un1_state_75_RNID6VJ2))
          ))
          (net un1_state_75_RNID6VJ2 (joined
           (portRef Z (instanceRef un1_state_75_RNID6VJ2))
           (portRef un1_state_75_RNID6VJ2)
          ))
          (net un1_state_97_4 (joined
           (portRef Z (instanceRef un1_state_97_4))
           (portRef B (instanceRef un1_state_97))
          ))
          (net k_pp_regs_3_sqmuxa_1_0_0 (joined
           (portRef Z (instanceRef k_pp_regs_3_sqmuxa_1_0_0))
           (portRef A (instanceRef k_pp_regs_4_sqmuxa_RNI05GT2))
           (portRef k_pp_regs_3_sqmuxa_1_0_0)
          ))
          (net k_pp_regs_4_sqmuxa (joined
           (portRef Z (instanceRef k_pp_regs_4_sqmuxa))
           (portRef B (instanceRef k_pp_regs_4_sqmuxa_RNI05GT2))
          ))
          (net N_499 (joined
           (portRef Z (instanceRef q8_26_2))
           (portRef C (instanceRef q8_27_2))
          ))
          (net N_811 (joined
           (portRef Z (instanceRef q16_19_2))
           (portRef A (instanceRef q16_26_2))
          ))
          (net N_500 (joined
           (portRef Z (instanceRef q8_26_3))
           (portRef C (instanceRef q8_27_3))
          ))
          (net N_812 (joined
           (portRef Z (instanceRef q16_19_3))
           (portRef A (instanceRef q16_26_3))
          ))
          (net N_501 (joined
           (portRef Z (instanceRef q8_26_4))
           (portRef C (instanceRef q8_27_4))
          ))
          (net N_813 (joined
           (portRef Z (instanceRef q16_19_4))
           (portRef A (instanceRef q16_26_4))
          ))
          (net N_502 (joined
           (portRef Z (instanceRef q8_26_5))
           (portRef C (instanceRef q8_27_5))
          ))
          (net N_814 (joined
           (portRef Z (instanceRef q16_19_5))
           (portRef A (instanceRef q16_26_5))
          ))
          (net N_503 (joined
           (portRef Z (instanceRef q8_26_6))
           (portRef C (instanceRef q8_27_6))
          ))
          (net N_815 (joined
           (portRef Z (instanceRef q16_19_6))
           (portRef A (instanceRef q16_26_6))
          ))
          (net N_512 (joined
           (portRef Z (instanceRef q8_27_7))
           (portRef B (instanceRef q8_28_7))
          ))
          (net N_928 (joined
           (portRef Z (instanceRef q16_26_7))
           (portRef B (instanceRef q16_27_7))
          ))
          (net N_809 (joined
           (portRef Z (instanceRef q16_19_0))
           (portRef A (instanceRef q16_26_0))
          ))
          (net N_817 (joined
           (portRef Z (instanceRef q16_19_8))
           (portRef A (instanceRef q16_26_8))
          ))
          (net N_818 (joined
           (portRef Z (instanceRef q16_19_9))
           (portRef A (instanceRef q16_26_9))
          ))
          (net N_819 (joined
           (portRef Z (instanceRef q16_19_10))
           (portRef A (instanceRef q16_26_10))
          ))
          (net N_820 (joined
           (portRef Z (instanceRef q16_19_11))
           (portRef A (instanceRef q16_26_11))
          ))
          (net N_821 (joined
           (portRef Z (instanceRef q16_19_12))
           (portRef A (instanceRef q16_26_12))
          ))
          (net N_822 (joined
           (portRef Z (instanceRef q16_19_13))
           (portRef A (instanceRef q16_26_13))
          ))
          (net N_823 (joined
           (portRef Z (instanceRef q16_19_14))
           (portRef A (instanceRef q16_26_14))
          ))
          (net N_824 (joined
           (portRef Z (instanceRef q16_19_15))
           (portRef A (instanceRef q16_26_15))
          ))
          (net N_498 (joined
           (portRef Z (instanceRef q8_26_1))
           (portRef C (instanceRef q8_27_1))
          ))
          (net N_314 (joined
           (portRef Z (instanceRef q8_3_1))
           (portRef A (instanceRef q8_14_am_1))
          ))
          (net N_338 (joined
           (portRef Z (instanceRef q8_6_1))
           (portRef B (instanceRef q8_14_am_1))
          ))
          (net (rename q8_14_am_1 "q8_14_am[1]") (joined
           (portRef Z (instanceRef q8_14_am_1))
           (portRef BLUT (instanceRef q8_14_1))
          ))
          (net N_370 (joined
           (portRef Z (instanceRef q8_10_1))
           (portRef A (instanceRef q8_14_bm_1))
          ))
          (net (rename q8_14_bm_1 "q8_14_bm[1]") (joined
           (portRef Z (instanceRef q8_14_bm_1))
           (portRef ALUT (instanceRef q8_14_1))
          ))
          (net N_746 (joined
           (portRef Z (instanceRef q16_15_1))
           (portRef A (instanceRef q16_26_am_1))
          ))
          (net (rename q16_26_am_1 "q16_26_am[1]") (joined
           (portRef Z (instanceRef q16_26_am_1))
           (portRef BLUT (instanceRef q16_26_1))
          ))
          (net N_890 (joined
           (portRef Z (instanceRef q16_24_1))
           (portRef B (instanceRef q16_26_bm_1))
          ))
          (net (rename q16_26_bm_1 "q16_26_bm[1]") (joined
           (portRef Z (instanceRef q16_26_bm_1))
           (portRef ALUT (instanceRef q16_26_1))
          ))
          (net N_808_2 (joined
           (portRef N_808_2)
           (portRef A (instanceRef un1_state_40))
           (portRef A (instanceRef un1_state_95_0))
           (portRef B (instanceRef un1_state_38))
          ))
          (net un1_state_39_0 (joined
           (portRef un1_state_39_0)
           (portRef B (instanceRef un1_state_95_0))
          ))
          (net un1_state_83 (joined
           (portRef Z (instanceRef un1_state_95_0))
           (portRef D (instanceRef un1_state_95_0_0))
           (portRef un1_state_83)
          ))
          (net k_cpu_oe_3_2 (joined
           (portRef Z (instanceRef k_cpu_oe_3_2))
           (portRef A (instanceRef k_cpu_oe_3))
          ))
          (net k_cpu_oe_3_3 (joined
           (portRef Z (instanceRef k_cpu_oe_3_3))
           (portRef B (instanceRef k_cpu_oe_3))
          ))
          (net un1_state_55 (joined
           (portRef Z (instanceRef un1_state_55))
           (portRef D (instanceRef k_cpu_oe_3))
          ))
          (net k_cpu_oe_3 (joined
           (portRef Z (instanceRef k_cpu_oe_3))
           (portRef k_cpu_oe_3)
          ))
          (net N_791 (joined
           (portRef N_791)
           (portRef A (instanceRef un1_state_75))
           (portRef A (instanceRef un1_state_77))
           (portRef A (instanceRef un3_cpu_reset_RNI88DC1))
          ))
          (net un1_state_77_1 (joined
           (portRef Z (instanceRef un1_state_76_1))
           (portRef C (instanceRef un1_state_76))
           (portRef B (instanceRef un1_state_77))
          ))
          (net un1_state_77 (joined
           (portRef Z (instanceRef un1_state_77))
           (portRef un1_state_77)
          ))
          (net N_1244 (joined
           (portRef Z (instanceRef un1_state_87_1_a6_1))
           (portRef A (instanceRef un1_state_87_1))
          ))
          (net un1_state_82_4 (joined
           (portRef un1_state_82_4)
           (portRef D (instanceRef un1_state_87_1))
          ))
          (net un1_state_73 (joined
           (portRef Z (instanceRef un1_state_73))
           (portRef C (instanceRef un1_state_97_4))
           (portRef un1_state_73)
          ))
          (net N_1447 (joined
           (portRef Z (instanceRef state_23_0_iv_352_a7_3))
           (portRef A (instanceRef state_23_0_iv_352_0))
          ))
          (net un1_state_41 (joined
           (portRef un1_state_41)
           (portRef B (instanceRef un1_state_75))
           (portRef C (instanceRef next_state_10_3_744))
          ))
          (net un1_state_42 (joined
           (portRef Z (instanceRef un1_state_42))
           (portRef C (instanceRef k_pp_regs_4_sqmuxa))
           (portRef C (instanceRef un1_state_75))
           (portRef D (instanceRef next_state_cnst_0_a2_4))
           (portRef un1_state_42)
          ))
          (net un1_state_35 (joined
           (portRef un1_state_35)
           (portRef D (instanceRef un1_state_76))
           (portRef D (instanceRef un1_state_77))
          ))
          (net un1_state_76 (joined
           (portRef Z (instanceRef un1_state_76))
           (portRef D (instanceRef un1_state_97_4))
           (portRef un1_state_76)
          ))
          (net N_1243_2 (joined
           (portRef N_1243_2)
           (portRef B (instanceRef k_cpu_oe_3_3))
          ))
          (net k_cpu_oe_3_0 (joined
           (portRef Z (instanceRef k_cpu_oe_3_0))
           (portRef C (instanceRef k_cpu_oe_3_3))
          ))
          (net N_1647 (joined
           (portRef Z (instanceRef k_eahi_11_iv_0_604_i_m3))
           (portRef A (instanceRef N_1646_i))
          ))
          (net (rename regs_o_dp_7 "regs_o_dp[7]") (joined
           (portRef regs_o_dp_7)
           (portRef A (instanceRef k_eahi_11_iv_0_604_i_m3))
           (portRef B (instanceRef N_1646_i))
           (portRef D (instanceRef k_new_pc_pipe_28))
          ))
          (net N_1646_i (joined
           (portRef Z (instanceRef N_1646_i))
           (portRef N_1646_i)
          ))
          (net N_1628 (joined
           (portRef Z (instanceRef k_eahi_11_iv_0_0_583_i_m3))
           (portRef A (instanceRef N_1627_i))
          ))
          (net (rename regs_o_dp_6 "regs_o_dp[6]") (joined
           (portRef regs_o_dp_6)
           (portRef A (instanceRef k_eahi_11_iv_0_0_583_i_m3))
           (portRef B (instanceRef N_1627_i))
           (portRef D (instanceRef k_new_pc_pipe_21))
          ))
          (net N_1627_i (joined
           (portRef Z (instanceRef N_1627_i))
           (portRef N_1627_i)
          ))
          (net N_1533 (joined
           (portRef Z (instanceRef k_eahi_11_iv_0_5_478_i_m3))
           (portRef A (instanceRef N_1532_i))
          ))
          (net N_1532_i (joined
           (portRef Z (instanceRef N_1532_i))
           (portRef N_1532_i)
          ))
          (net N_984 (joined
           (portRef Z (instanceRef v16_14))
           (portRef A (instanceRef v16_18))
          ))
          (net N_987f (joined
           (portRef Z (instanceRef v16_17))
           (portRef B (instanceRef v16_18))
          ))
          (net N_978 (joined
           (portRef Z (instanceRef v16_8))
           (portRef A (instanceRef v16_11_am))
          ))
          (net v16_11_am (joined
           (portRef Z (instanceRef v16_11_am))
           (portRef BLUT (instanceRef v16_11))
          ))
          (net v16_11_bm (joined
           (portRef Z (instanceRef v16_11_bm))
           (portRef ALUT (instanceRef v16_11))
          ))
          (net N_973 (joined
           (portRef Z (instanceRef v16_3))
           (portRef A (instanceRef v16_7))
          ))
          (net N_976 (joined
           (portRef Z (instanceRef v16_6))
           (portRef B (instanceRef v16_7))
          ))
          (net (rename CCRo_am_2 "CCRo_am[2]") (joined
           (portRef Z (instanceRef CCRo_am_2))
           (portRef BLUT (instanceRef CCRo_2))
          ))
          (net z16_1 (joined
           (portRef Z (instanceRef z16_1))
           (portRef B (instanceRef CCRo_bm_2))
          ))
          (net (rename CCRo_bm_2 "CCRo_bm[2]") (joined
           (portRef Z (instanceRef CCRo_bm_2))
           (portRef ALUT (instanceRef CCRo_2))
          ))
          (net N_967 (joined
           (portRef Z (instanceRef v8_15))
           (portRef B (instanceRef v8_16))
          ))
          (net N_747 (joined
           (portRef Z (instanceRef q16_15_2))
           (portRef A (instanceRef q16_19_2))
          ))
          (net N_475 (joined
           (portRef Z (instanceRef q8_23_2))
           (portRef B (instanceRef q8_26_2))
          ))
          (net N_748 (joined
           (portRef Z (instanceRef q16_15_3))
           (portRef A (instanceRef q16_19_3))
          ))
          (net N_476 (joined
           (portRef Z (instanceRef q8_23_3))
           (portRef B (instanceRef q8_26_3))
          ))
          (net N_749 (joined
           (portRef Z (instanceRef q16_15_4))
           (portRef A (instanceRef q16_19_4))
          ))
          (net N_477 (joined
           (portRef Z (instanceRef q8_23_4))
           (portRef B (instanceRef q8_26_4))
          ))
          (net N_750 (joined
           (portRef Z (instanceRef q16_15_5))
           (portRef A (instanceRef q16_19_5))
          ))
          (net N_478 (joined
           (portRef Z (instanceRef q8_23_5))
           (portRef B (instanceRef q8_26_5))
          ))
          (net N_751 (joined
           (portRef Z (instanceRef q16_15_6))
           (portRef A (instanceRef q16_19_6))
          ))
          (net N_479 (joined
           (portRef Z (instanceRef q8_23_6))
           (portRef B (instanceRef q8_26_6))
          ))
          (net N_504 (joined
           (portRef Z (instanceRef q8_26_7))
           (portRef C (instanceRef q8_27_7))
          ))
          (net N_816 (joined
           (portRef Z (instanceRef q16_19_7))
           (portRef A (instanceRef q16_26_7))
          ))
          (net N_745 (joined
           (portRef Z (instanceRef q16_15_0))
           (portRef A (instanceRef q16_19_0))
          ))
          (net N_441 (joined
           (portRef Z (instanceRef q8_19_0))
           (portRef A (instanceRef q8_26_0))
          ))
          (net N_473 (joined
           (portRef Z (instanceRef q8_23_0))
           (portRef B (instanceRef q8_26_0))
          ))
          (net N_753 (joined
           (portRef Z (instanceRef q16_15_8))
           (portRef A (instanceRef q16_19_8))
          ))
          (net N_754 (joined
           (portRef Z (instanceRef q16_15_9))
           (portRef A (instanceRef q16_19_9))
          ))
          (net N_755 (joined
           (portRef Z (instanceRef q16_15_10))
           (portRef A (instanceRef q16_19_10))
          ))
          (net N_756 (joined
           (portRef Z (instanceRef q16_15_11))
           (portRef A (instanceRef q16_19_11))
          ))
          (net N_757 (joined
           (portRef Z (instanceRef q16_15_12))
           (portRef A (instanceRef q16_19_12))
          ))
          (net N_758 (joined
           (portRef Z (instanceRef q16_15_13))
           (portRef A (instanceRef q16_19_13))
          ))
          (net N_759 (joined
           (portRef Z (instanceRef q16_15_14))
           (portRef A (instanceRef q16_19_14))
          ))
          (net N_760 (joined
           (portRef Z (instanceRef q16_15_15))
           (portRef A (instanceRef q16_19_15))
          ))
          (net N_1063 (joined
           (portRef Z (instanceRef k_new_pc_17_2_3))
           (portRef A (instanceRef k_new_pc_17_3))
          ))
          (net k_new_pc_17_sn_N_6_muxf (joined
           (portRef Q (instanceRef k_new_pc_pipe_1))
           (portRef C0 (instanceRef k_new_pc_17_15))
           (portRef C0 (instanceRef k_new_pc_17_14))
           (portRef C0 (instanceRef k_new_pc_17_12))
           (portRef C0 (instanceRef k_new_pc_17_8))
           (portRef C (instanceRef k_new_pc_17_7))
           (portRef C (instanceRef k_new_pc_17_3))
           (portRef k_new_pc_17_sn_N_6_muxf)
          ))
          (net (rename k_new_pc_0 "k_new_pc[3]") (joined
           (portRef Z (instanceRef k_new_pc_17_3))
           (portRef k_new_pc_0)
          ))
          (net N_1067 (joined
           (portRef Z (instanceRef k_new_pc_17_2_7))
           (portRef A (instanceRef k_new_pc_17_7))
          ))
          (net (rename k_new_pc_4 "k_new_pc[7]") (joined
           (portRef Z (instanceRef k_new_pc_17_7))
           (portRef k_new_pc_4)
          ))
          (net (rename dec_o_p1_modef_2 "dec_o_p1_modef[2]") (joined
           (portRef Q (instanceRef k_new_pc_pipe_68))
           (portRef A (instanceRef k_new_pc_17_am_15))
           (portRef A (instanceRef k_new_pc_17_am_14))
           (portRef A (instanceRef k_new_pc_17_am_12))
           (portRef A (instanceRef k_new_pc_17_am_8))
           (portRef (member dec_o_p1_modef 0))
          ))
          (net (rename k_new_pc_17_am_8 "k_new_pc_17_am[8]") (joined
           (portRef Z (instanceRef k_new_pc_17_am_8))
           (portRef BLUT (instanceRef k_new_pc_17_8))
          ))
          (net N_1050 (joined
           (portRef Z (instanceRef k_new_pc_17_1_8))
           (portRef A (instanceRef k_new_pc_17_bm_8))
          ))
          (net (rename dec_o_p1_modef_1 "dec_o_p1_modef[1]") (joined
           (portRef Q (instanceRef k_new_pc_pipe_10))
           (portRef B (instanceRef k_new_pc_17_2_7))
           (portRef B (instanceRef k_new_pc_17_2_3))
           (portRef B (instanceRef k_new_pc_17_bm_15))
           (portRef B (instanceRef k_new_pc_17_bm_14))
           (portRef B (instanceRef k_new_pc_17_bm_12))
           (portRef B (instanceRef k_new_pc_17_bm_8))
           (portRef (member dec_o_p1_modef 1))
          ))
          (net (rename un1_regs_o_pc_5 "un1_regs_o_pc[8]") (joined
           (portRef un1_regs_o_pc_5)
           (portRef C (instanceRef k_new_pc_17_bm_8))
          ))
          (net (rename k_new_pc_17_bm_8 "k_new_pc_17_bm[8]") (joined
           (portRef Z (instanceRef k_new_pc_17_bm_8))
           (portRef ALUT (instanceRef k_new_pc_17_8))
          ))
          (net (rename k_new_pc_5 "k_new_pc[8]") (joined
           (portRef Z (instanceRef k_new_pc_17_8))
           (portRef k_new_pc_5)
          ))
          (net (rename k_new_pc_17_am_12 "k_new_pc_17_am[12]") (joined
           (portRef Z (instanceRef k_new_pc_17_am_12))
           (portRef BLUT (instanceRef k_new_pc_17_12))
          ))
          (net N_1054 (joined
           (portRef Z (instanceRef k_new_pc_17_1_12))
           (portRef A (instanceRef k_new_pc_17_bm_12))
          ))
          (net (rename un1_regs_o_pc_9 "un1_regs_o_pc[12]") (joined
           (portRef un1_regs_o_pc_9)
           (portRef C (instanceRef k_new_pc_17_bm_12))
          ))
          (net (rename k_new_pc_17_bm_12 "k_new_pc_17_bm[12]") (joined
           (portRef Z (instanceRef k_new_pc_17_bm_12))
           (portRef ALUT (instanceRef k_new_pc_17_12))
          ))
          (net (rename k_new_pc_9 "k_new_pc[12]") (joined
           (portRef Z (instanceRef k_new_pc_17_12))
           (portRef k_new_pc_9)
          ))
          (net (rename k_new_pc_17_am_14 "k_new_pc_17_am[14]") (joined
           (portRef Z (instanceRef k_new_pc_17_am_14))
           (portRef BLUT (instanceRef k_new_pc_17_14))
          ))
          (net N_1056 (joined
           (portRef Z (instanceRef k_new_pc_17_1_14))
           (portRef A (instanceRef k_new_pc_17_bm_14))
          ))
          (net (rename un1_regs_o_pc_11 "un1_regs_o_pc[14]") (joined
           (portRef un1_regs_o_pc_11)
           (portRef C (instanceRef k_new_pc_17_bm_14))
          ))
          (net (rename k_new_pc_17_bm_14 "k_new_pc_17_bm[14]") (joined
           (portRef Z (instanceRef k_new_pc_17_bm_14))
           (portRef ALUT (instanceRef k_new_pc_17_14))
          ))
          (net (rename k_new_pc_11 "k_new_pc[14]") (joined
           (portRef Z (instanceRef k_new_pc_17_14))
           (portRef k_new_pc_11)
          ))
          (net (rename k_new_pc_17_am_15 "k_new_pc_17_am[15]") (joined
           (portRef Z (instanceRef k_new_pc_17_am_15))
           (portRef BLUT (instanceRef k_new_pc_17_15))
          ))
          (net N_1057 (joined
           (portRef Z (instanceRef k_new_pc_17_1_15))
           (portRef A (instanceRef k_new_pc_17_bm_15))
          ))
          (net (rename un1_regs_o_pc_12 "un1_regs_o_pc[15]") (joined
           (portRef un1_regs_o_pc_12)
           (portRef C (instanceRef k_new_pc_17_bm_15))
          ))
          (net (rename k_new_pc_17_bm_15 "k_new_pc_17_bm[15]") (joined
           (portRef Z (instanceRef k_new_pc_17_bm_15))
           (portRef ALUT (instanceRef k_new_pc_17_15))
          ))
          (net (rename k_new_pc_12 "k_new_pc[15]") (joined
           (portRef Z (instanceRef k_new_pc_17_15))
           (portRef k_new_pc_12)
          ))
          (net N_442 (joined
           (portRef Z (instanceRef q8_19_1))
           (portRef A (instanceRef q8_26_1))
          ))
          (net N_474 (joined
           (portRef Z (instanceRef q8_23_1))
           (portRef B (instanceRef q8_26_1))
          ))
          (net N_682 (joined
           (portRef Z (instanceRef q16_11_1))
           (portRef B (instanceRef q16_12_1))
          ))
          (net N_106 (joined
           (portRef N_106)
           (portRef A (instanceRef datamux_o_alu_in_right_path_data27_1_0_o2_0_tz))
           (portRef B (instanceRef k_pp_regs_0_sqmuxa_2_1_RNI70H31))
          ))
          (net datamux_o_alu_in_right_path_data27_1_0_o2_0_tz_0 (joined
           (portRef Z (instanceRef datamux_o_alu_in_right_path_data27_1_0_o2_0_tz_0))
           (portRef B (instanceRef datamux_o_alu_in_right_path_data27_1_0_o2_0_tz))
          ))
          (net k_opcode_1_rep1 (joined
           (portRef k_opcode_1_rep1)
           (portRef A (instanceRef datamux_m2_1_1))
           (portRef A (instanceRef k_pp_regs_1_sqmuxa_2_2))
           (portRef D (instanceRef datamux_o_alu_in_right_path_data27_1_0_o2_0_tz))
           (portRef D (instanceRef k_pp_regs_0_sqmuxa_2_1_RNI70H31))
          ))
          (net N_1723_4 (joined
           (portRef N_1723_4)
           (portRef A (instanceRef k_pp_regs_4_sqmuxa))
          ))
          (net N_144 (joined
           (portRef N_144)
           (portRef A (instanceRef state_3_sqmuxa_0))
          ))
          (net (rename reset_cnt_1_3 "reset_cnt_1[3]") (joined
           (portRef Z (instanceRef SUM3))
           (portRef (member reset_cnt_1 0))
          ))
          (net N_1436 (joined
           (portRef N_1436)
           (portRef B (instanceRef un1_state_42))
           (portRef B (instanceRef un1_state_56))
           (portRef A (instanceRef un1_state_87_1_a6_1))
           (portRef B (instanceRef un1_state_19))
           (portRef B (instanceRef state_2_sqmuxa_5))
          ))
          (net un1_state_19 (joined
           (portRef Z (instanceRef un1_state_19))
           (portRef A (instanceRef un1_state_19_RNISSVR))
           (portRef un1_state_19)
          ))
          (net N_956 (joined
           (portRef Z (instanceRef v8_4))
           (portRef A (instanceRef v8_6))
          ))
          (net N_958 (joined
           (portRef Z (instanceRef v8_6))
           (portRef B (instanceRef v8_18_am))
          ))
          (net v8_3_am (joined
           (portRef Z (instanceRef v8_3_am))
           (portRef BLUT (instanceRef v8_3))
          ))
          (net v8_3_bm (joined
           (portRef Z (instanceRef v8_3_bm))
           (portRef ALUT (instanceRef v8_3))
          ))
          (net N_955 (joined
           (portRef Z (instanceRef v8_3))
           (portRef A (instanceRef v8_18_am))
          ))
          (net N_974 (joined
           (portRef Z (instanceRef v16_4))
           (portRef A (instanceRef v16_6))
          ))
          (net v16_3_am (joined
           (portRef Z (instanceRef v16_3_am))
           (portRef BLUT (instanceRef v16_3))
          ))
          (net v16_3_bm (joined
           (portRef Z (instanceRef v16_3_bm))
           (portRef ALUT (instanceRef v16_3))
          ))
          (net N_1018 (joined
           (portRef Z (instanceRef CCRo_0_5))
           (portRef B (instanceRef CCRo_5))
          ))
          (net N_966 (joined
           (portRef Z (instanceRef v8_14))
           (portRef A (instanceRef v8_15))
          ))
          (net v16_17_am (joined
           (portRef Z (instanceRef v16_17_am))
           (portRef BLUT (instanceRef v16_17))
          ))
          (net v16_17_bm (joined
           (portRef Z (instanceRef v16_17_bm))
           (portRef ALUT (instanceRef v16_17))
          ))
          (net N_715 (joined
           (portRef Z (instanceRef q16_13_2))
           (portRef A (instanceRef q16_15_2))
          ))
          (net N_459 (joined
           (portRef Z (instanceRef q8_21_2))
           (portRef A (instanceRef q8_23_2))
          ))
          (net N_716 (joined
           (portRef Z (instanceRef q16_13_3))
           (portRef B (instanceRef q16_15_3))
          ))
          (net N_460 (joined
           (portRef Z (instanceRef q8_21_3))
           (portRef A (instanceRef q8_23_3))
          ))
          (net N_717 (joined
           (portRef Z (instanceRef q16_13_4))
           (portRef B (instanceRef q16_15_4))
          ))
          (net N_461 (joined
           (portRef Z (instanceRef q8_21_4))
           (portRef A (instanceRef q8_23_4))
          ))
          (net N_718 (joined
           (portRef Z (instanceRef q16_13_5))
           (portRef A (instanceRef q16_15_5))
          ))
          (net N_462 (joined
           (portRef Z (instanceRef q8_21_5))
           (portRef A (instanceRef q8_23_5))
          ))
          (net N_719 (joined
           (portRef Z (instanceRef q16_13_6))
           (portRef B (instanceRef q16_15_6))
          ))
          (net N_463 (joined
           (portRef Z (instanceRef q8_21_6))
           (portRef A (instanceRef q8_23_6))
          ))
          (net N_752 (joined
           (portRef Z (instanceRef q16_15_7))
           (portRef A (instanceRef q16_19_7))
          ))
          (net N_2122_mux (joined
           (portRef Z (instanceRef q8_26_RNO_7))
           (portRef A (instanceRef q8_26_7))
          ))
          (net n16 (joined
           (portRef Z (instanceRef n16))
           (portRef A (instanceRef CCRo_7_3))
          ))
          (net (rename CCRo_7_3 "CCRo_7[3]") (joined
           (portRef Z (instanceRef CCRo_7_3))
           (portRef ALUT (instanceRef CCRo_3))
          ))
          (net N_713 (joined
           (portRef Z (instanceRef q16_13_0))
           (portRef B (instanceRef q16_15_0))
          ))
          (net N_329 (joined
           (portRef Z (instanceRef q8_5_0))
           (portRef B (instanceRef q8_6_0))
          ))
          (net N_337 (joined
           (portRef Z (instanceRef q8_6_0))
           (portRef B (instanceRef q8_28_am_1_0))
          ))
          (net N_361 (joined
           (portRef Z (instanceRef q8_9_0))
           (portRef B (instanceRef q8_10_0))
          ))
          (net N_369 (joined
           (portRef Z (instanceRef q8_10_0))
           (portRef B (instanceRef q8_28_am_0))
          ))
          (net (rename q8_23_am_0 "q8_23_am[0]") (joined
           (portRef Z (instanceRef q8_23_am_0))
           (portRef BLUT (instanceRef q8_23_0))
          ))
          (net (rename q8_23_bm_0 "q8_23_bm[0]") (joined
           (portRef Z (instanceRef q8_23_bm_0))
           (portRef ALUT (instanceRef q8_23_0))
          ))
          (net N_721_0 (joined
           (portRef Z (instanceRef q16_13_8))
           (portRef B (instanceRef q16_15_8))
          ))
          (net N_722 (joined
           (portRef Z (instanceRef q16_13_9))
           (portRef B (instanceRef q16_15_9))
          ))
          (net N_723 (joined
           (portRef Z (instanceRef q16_13_10))
           (portRef B (instanceRef q16_15_10))
          ))
          (net N_724 (joined
           (portRef Z (instanceRef q16_13_11))
           (portRef B (instanceRef q16_15_11))
          ))
          (net N_725_0 (joined
           (portRef Z (instanceRef q16_13_12))
           (portRef B (instanceRef q16_15_12))
          ))
          (net N_726 (joined
           (portRef Z (instanceRef q16_13_13))
           (portRef B (instanceRef q16_15_13))
          ))
          (net N_727 (joined
           (portRef Z (instanceRef q16_13_14))
           (portRef B (instanceRef q16_15_14))
          ))
          (net N_728 (joined
           (portRef Z (instanceRef q16_13_15))
           (portRef A (instanceRef q16_15_15))
          ))
          (net N_1045 (joined
           (portRef Z (instanceRef k_new_pc_17_1_3))
           (portRef A (instanceRef k_new_pc_17_2_3))
          ))
          (net (rename un1_regs_o_pc_0 "un1_regs_o_pc[3]") (joined
           (portRef un1_regs_o_pc_0)
           (portRef C (instanceRef k_new_pc_17_2_3))
          ))
          (net N_1049 (joined
           (portRef Z (instanceRef k_new_pc_17_1_7))
           (portRef A (instanceRef k_new_pc_17_2_7))
          ))
          (net (rename un1_regs_o_pc_4 "un1_regs_o_pc[7]") (joined
           (portRef un1_regs_o_pc_4)
           (portRef C (instanceRef k_new_pc_17_2_7))
          ))
          (net N_426 (joined
           (portRef Z (instanceRef q8_17_1))
           (portRef A (instanceRef q8_19_1))
          ))
          (net (rename q8_23_am_1 "q8_23_am[1]") (joined
           (portRef Z (instanceRef q8_23_am_1))
           (portRef BLUT (instanceRef q8_23_1))
          ))
          (net (rename q8_23_bm_1 "q8_23_bm[1]") (joined
           (portRef Z (instanceRef q8_23_bm_1))
           (portRef ALUT (instanceRef q8_23_1))
          ))
          (net N_362 (joined
           (portRef Z (instanceRef q8_9_1))
           (portRef B (instanceRef q8_10_1))
          ))
          (net (rename q8_6_am_1 "q8_6_am[1]") (joined
           (portRef Z (instanceRef q8_6_am_1))
           (portRef BLUT (instanceRef q8_6_1))
          ))
          (net (rename q8_6_bm_1 "q8_6_bm[1]") (joined
           (portRef Z (instanceRef q8_6_bm_1))
           (portRef ALUT (instanceRef q8_6_1))
          ))
          (net N_306 (joined
           (portRef Z (instanceRef q8_2_1))
           (portRef B (instanceRef q8_3_1))
          ))
          (net N_714 (joined
           (portRef Z (instanceRef q16_13_1))
           (portRef B (instanceRef q16_15_1))
          ))
          (net (rename q16_11_am_1 "q16_11_am[1]") (joined
           (portRef Z (instanceRef q16_11_am_1))
           (portRef BLUT (instanceRef q16_11_1))
          ))
          (net (rename q16_11_bm_1 "q16_11_bm[1]") (joined
           (portRef Z (instanceRef q16_11_bm_1))
           (portRef ALUT (instanceRef q16_11_1))
          ))
          (net (rename next_state_2 "next_state[2]") (joined
           (portRef (member next_state 0))
           (portRef B (instanceRef state_23_0_iv_352_a7_3))
          ))
          (net un1_state_61_3 (joined
           (portRef un1_state_61_3)
           (portRef D (instanceRef k_cpu_oe_3_0))
           (portRef C (instanceRef state_23_0_iv_352_a7_3))
           (portRef B (instanceRef state_23_0_iv_352_m2_bm))
          ))
          (net cneg16_r_13_2 (joined
           (portRef Z (instanceRef cneg16_r_13_2))
           (portRef A (instanceRef cneg16_r_13))
          ))
          (net cneg16_r_13_3 (joined
           (portRef Z (instanceRef cneg16_r_13_3))
           (portRef B (instanceRef cneg16_r_13))
          ))
          (net cneg16_r_13 (joined
           (portRef Z (instanceRef cneg16_r_13))
           (portRef cneg16_r_13)
          ))
          (net un1_state_59_1 (joined
           (portRef un1_state_59_1)
           (portRef B (instanceRef un1_state_55))
           (portRef B (instanceRef un1_state_25))
           (portRef B (instanceRef un1_state_19_RNISSVR))
          ))
          (net un1_state_40_2 (joined
           (portRef un1_state_40_2)
           (portRef B (instanceRef un1_state_40))
           (portRef D (instanceRef k_cpu_oe_3_3))
          ))
          (net un1_state_40 (joined
           (portRef Z (instanceRef un1_state_40))
           (portRef un1_state_40)
          ))
          (net N_1239_1 (joined
           (portRef N_1239_1)
           (portRef B (instanceRef un1_state_32))
           (portRef A (instanceRef k_cpu_oe_3_3))
          ))
          (net N_61 (joined
           (portRef N_61)
           (portRef A (instanceRef datamux_o_alu_in_right_path_data27_1_0_o2_0_tz_0))
          ))
          (net N_135 (joined
           (portRef N_135)
           (portRef B (instanceRef datamux_o_alu_in_right_path_data27_1_0_o2_0_tz_0))
          ))
          (net N_210 (joined
           (portRef Z (instanceRef datamux_o_alu_in_right_path_data27_1_0_a3_1))
           (portRef C (instanceRef datamux_o_alu_in_right_path_data27_1_0_o2_0_tz_0))
          ))
          (net k_opcode_0_rep1 (joined
           (portRef k_opcode_0_rep1)
           (portRef A (instanceRef datamux_o_alu_in_right_path_data27_1_0_a3_1))
           (portRef C (instanceRef datamux_o_alu_in_right_path_data27_1_0_o2_0_tz))
          ))
          (net (rename reset_cnt_1_2 "reset_cnt_1[2]") (joined
           (portRef Z (instanceRef SUM2))
           (portRef (member reset_cnt_1 1))
          ))
          (net (rename k_opcode_1 "k_opcode[1]") (joined
           (portRef (member k_opcode 6))
           (portRef A (instanceRef k_pp_regs_0_sqmuxa_2_7_2))
          ))
          (net (rename k_opcode_5 "k_opcode[5]") (joined
           (portRef (member k_opcode 2))
           (portRef B (instanceRef k_pp_regs_0_sqmuxa_2_7_2))
          ))
          (net (rename k_opcode_6 "k_opcode[6]") (joined
           (portRef (member k_opcode 1))
           (portRef C (instanceRef k_pp_regs_0_sqmuxa_2_7_2))
          ))
          (net (rename k_opcode_7 "k_opcode[7]") (joined
           (portRef (member k_opcode 0))
           (portRef D (instanceRef k_pp_regs_0_sqmuxa_2_7_2))
           (portRef B (instanceRef state_3_sqmuxa_0))
          ))
          (net (rename neg16_w_15 "neg16_w[15]") (joined
           (portRef S0 (instanceRef neg16_w_s_15_0))
           (portRef A (instanceRef regq16_pipe_RNO_2))
           (portRef B (instanceRef cneg16_r_13_2))
           (portRef C (instanceRef vneg16_r_5))
          ))
          (net (rename cpu0_data_in_6 "cpu0_data_in[6]") (joined
           (portRef (member cpu0_data_in 1))
           (portRef A (instanceRef data_io_6))
           (portRef B (instanceRef k_eahi_11_iv_0_0_583_i_m3))
           (portRef C (instanceRef k_pp_regs_27_am_6))
          ))
          (net (rename cpu0_data_in_7 "cpu0_data_in[7]") (joined
           (portRef (member cpu0_data_in 0))
           (portRef B (instanceRef k_eahi_11_iv_0_604_i_m3))
           (portRef A (instanceRef data_io_7))
           (portRef B (instanceRef k_pp_regs_27_am_7))
          ))
          (net (rename cpu0_data_out_7 "cpu0_data_out[7]") (joined
           (portRef (member cpu0_data_out 0))
           (portRef B (instanceRef data_io_7))
          ))
          (net cpu0_we (joined
           (portRef cpu0_we)
           (portRef B (instanceRef un1_cen_o))
           (portRef A (instanceRef leds_r_cnv_0))
           (portRef C (instanceRef data_io_0))
           (portRef C (instanceRef data_io_1))
           (portRef C (instanceRef data_io_2))
           (portRef C (instanceRef data_io_3))
           (portRef C (instanceRef data_io_4))
           (portRef C (instanceRef data_io_5))
           (portRef C (instanceRef data_io_6))
           (portRef C (instanceRef data_io_7))
           (portRef A (instanceRef un1_cen_o_i))
          ))
          (net (rename data_io_c_7 "data_io_c[7]") (joined
           (portRef Z (instanceRef data_io_7))
           (portRef (member data_io_c 0))
          ))
          (net (rename cpu0_data_out_6 "cpu0_data_out[6]") (joined
           (portRef (member cpu0_data_out 1))
           (portRef B (instanceRef data_io_6))
          ))
          (net (rename data_io_c_6 "data_io_c[6]") (joined
           (portRef Z (instanceRef data_io_6))
           (portRef (member data_io_c 1))
          ))
          (net (rename cpu0_data_in_5 "cpu0_data_in[5]") (joined
           (portRef (member cpu0_data_in 2))
           (portRef A (instanceRef data_io_5))
           (portRef C (instanceRef k_pp_regs_27_am_5))
          ))
          (net (rename cpu0_data_out_5 "cpu0_data_out[5]") (joined
           (portRef (member cpu0_data_out 2))
           (portRef B (instanceRef data_io_5))
          ))
          (net (rename data_io_c_5 "data_io_c[5]") (joined
           (portRef Z (instanceRef data_io_5))
           (portRef (member data_io_c 2))
          ))
          (net (rename cpu0_data_in_4 "cpu0_data_in[4]") (joined
           (portRef (member cpu0_data_in 3))
           (portRef A (instanceRef data_io_4))
           (portRef C (instanceRef k_pp_regs_27_am_4))
          ))
          (net (rename cpu0_data_out_4 "cpu0_data_out[4]") (joined
           (portRef (member cpu0_data_out 3))
           (portRef B (instanceRef data_io_4))
          ))
          (net (rename data_io_c_4 "data_io_c[4]") (joined
           (portRef Z (instanceRef data_io_4))
           (portRef (member data_io_c 3))
          ))
          (net (rename cpu0_data_in_3 "cpu0_data_in[3]") (joined
           (portRef (member cpu0_data_in 4))
           (portRef A (instanceRef data_io_3))
           (portRef C (instanceRef k_pp_regs_27_am_3))
          ))
          (net (rename cpu0_data_out_3 "cpu0_data_out[3]") (joined
           (portRef (member cpu0_data_out 4))
           (portRef B (instanceRef data_io_3))
          ))
          (net (rename data_io_c_3 "data_io_c[3]") (joined
           (portRef Z (instanceRef data_io_3))
           (portRef (member data_io_c 4))
          ))
          (net (rename cpu0_data_in_2 "cpu0_data_in[2]") (joined
           (portRef (member cpu0_data_in 5))
           (portRef A (instanceRef data_io_2))
           (portRef C (instanceRef k_pp_regs_27_am_2))
          ))
          (net (rename cpu0_data_out_2 "cpu0_data_out[2]") (joined
           (portRef (member cpu0_data_out 5))
           (portRef B (instanceRef data_io_2))
          ))
          (net (rename data_io_c_2 "data_io_c[2]") (joined
           (portRef Z (instanceRef data_io_2))
           (portRef (member data_io_c 5))
          ))
          (net (rename cpu0_data_in_1 "cpu0_data_in[1]") (joined
           (portRef (member cpu0_data_in 6))
           (portRef B (instanceRef k_eahi_11_iv_0_5_478_i_m3))
           (portRef A (instanceRef data_io_1))
           (portRef C (instanceRef k_pp_regs_27_am_1))
          ))
          (net (rename cpu0_data_out_1 "cpu0_data_out[1]") (joined
           (portRef (member cpu0_data_out 6))
           (portRef B (instanceRef data_io_1))
          ))
          (net (rename data_io_c_1 "data_io_c[1]") (joined
           (portRef Z (instanceRef data_io_1))
           (portRef (member data_io_c 6))
          ))
          (net (rename cpu0_data_in_0 "cpu0_data_in[0]") (joined
           (portRef (member cpu0_data_in 7))
           (portRef A (instanceRef data_io_0))
           (portRef D (instanceRef k_pp_regs_27_am_0))
          ))
          (net (rename cpu0_data_out_0 "cpu0_data_out[0]") (joined
           (portRef (member cpu0_data_out 7))
           (portRef B (instanceRef data_io_0))
          ))
          (net (rename data_io_c_0 "data_io_c[0]") (joined
           (portRef Z (instanceRef data_io_0))
           (portRef (member data_io_c 7))
          ))
          (net (rename regs_o_dp_1 "regs_o_dp[1]") (joined
           (portRef regs_o_dp_1)
           (portRef A (instanceRef k_eahi_11_iv_0_5_478_i_m3))
           (portRef B (instanceRef N_1532_i))
          ))
          (net N_962 (joined
           (portRef Z (instanceRef v8_10))
           (portRef B (instanceRef v8_18_am_1))
          ))
          (net N_1016 (joined
           (portRef Z (instanceRef CCRo_0_3))
           (portRef BLUT (instanceRef CCRo_3))
          ))
          (net dec_o_ea_indirectf (joined
           (portRef Q (instanceRef k_new_pc_pipe_13))
           (portRef A (instanceRef k_new_pc_17_1_15))
           (portRef A (instanceRef k_new_pc_17_1_14))
           (portRef A (instanceRef k_new_pc_17_1_12))
           (portRef A (instanceRef k_new_pc_17_1_8))
           (portRef A (instanceRef k_new_pc_17_1_7))
           (portRef A (instanceRef k_new_pc_17_1_3))
           (portRef dec_o_ea_indirectf)
          ))
          (net (rename k_memlof_0 "k_memlof[3]") (joined
           (portRef k_memlof_0)
           (portRef B (instanceRef k_new_pc_17_1_3))
          ))
          (net (rename k_memlof_4 "k_memlof[7]") (joined
           (portRef k_memlof_4)
           (portRef B (instanceRef un1_k_memlo_8))
           (portRef B (instanceRef un1_k_memlo_9))
           (portRef B (instanceRef un1_k_memlo_10))
           (portRef B (instanceRef un1_k_memlo_11))
           (portRef B (instanceRef un1_k_memlo_12))
           (portRef B (instanceRef un1_k_memlo_13))
           (portRef B (instanceRef un1_k_memlo_14))
           (portRef B (instanceRef un1_k_memlo_15))
           (portRef B (instanceRef k_new_pc_17_1_7))
          ))
          (net (rename un1_k_memlo_15 "un1_k_memlo[15]") (joined
           (portRef Z (instanceRef un1_k_memlo_15))
           (portRef (member un1_k_memlo 0))
          ))
          (net (rename un1_k_memlo_14 "un1_k_memlo[14]") (joined
           (portRef Z (instanceRef un1_k_memlo_14))
           (portRef (member un1_k_memlo 1))
          ))
          (net (rename k_memhif_5 "k_memhif[5]") (joined
           (portRef Q (instanceRef k_new_pc_pipe_58))
           (portRef A (instanceRef un1_k_memlo_13))
           (portRef k_memhif_5)
          ))
          (net (rename un1_k_memlo_13 "un1_k_memlo[13]") (joined
           (portRef Z (instanceRef un1_k_memlo_13))
           (portRef (member un1_k_memlo 2))
          ))
          (net (rename un1_k_memlo_12 "un1_k_memlo[12]") (joined
           (portRef Z (instanceRef un1_k_memlo_12))
           (portRef (member un1_k_memlo 3))
          ))
          (net (rename k_memhif_3 "k_memhif[3]") (joined
           (portRef Q (instanceRef k_new_pc_pipe_52))
           (portRef A (instanceRef un1_k_memlo_11))
           (portRef k_memhif_3)
          ))
          (net (rename un1_k_memlo_11 "un1_k_memlo[11]") (joined
           (portRef Z (instanceRef un1_k_memlo_11))
           (portRef (member un1_k_memlo 4))
          ))
          (net (rename k_memhif_2 "k_memhif[2]") (joined
           (portRef Q (instanceRef k_new_pc_pipe_49))
           (portRef A (instanceRef un1_k_memlo_10))
           (portRef k_memhif_2)
          ))
          (net (rename un1_k_memlo_10 "un1_k_memlo[10]") (joined
           (portRef Z (instanceRef un1_k_memlo_10))
           (portRef (member un1_k_memlo 5))
          ))
          (net (rename k_memhif_1 "k_memhif[1]") (joined
           (portRef Q (instanceRef k_new_pc_pipe_46))
           (portRef A (instanceRef un1_k_memlo_9))
           (portRef k_memhif_1)
          ))
          (net (rename un1_k_memlo_9 "un1_k_memlo[9]") (joined
           (portRef Z (instanceRef un1_k_memlo_9))
           (portRef (member un1_k_memlo 6))
          ))
          (net (rename un1_k_memlo_8 "un1_k_memlo[8]") (joined
           (portRef Z (instanceRef un1_k_memlo_8))
           (portRef (member un1_k_memlo 7))
          ))
          (net un1_state_60_3 (joined
           (portRef Z (instanceRef un1_state_18_1))
           (portRef un1_state_60_3)
          ))
          (net k_opcode_3_rep2 (joined
           (portRef k_opcode_3_rep2)
           (portRef B (instanceRef k_pp_regs_1_sqmuxa_2_2))
           (portRef C (instanceRef k_pp_regs_0_sqmuxa_2_1_RNI70H31))
          ))
          (net k_opcode_3_rep1 (joined
           (portRef k_opcode_3_rep1)
           (portRef A (instanceRef state54_3_0_a2_0))
          ))
          (net k_opcode_6_rep1 (joined
           (portRef k_opcode_6_rep1)
           (portRef B (instanceRef state54_3_0_a2_0))
          ))
          (net (rename state55_3_0 "state55_3[0]") (joined
           (portRef Z (instanceRef state54_3_0_a2_0))
           (portRef C (instanceRef state_3_sqmuxa_0))
           (portRef (member state55_3 0))
          ))
          (net (rename leds_r_cnv_0 "leds_r_cnv[0]") (joined
           (portRef Z (instanceRef leds_r_cnv_0))
           (portRef (member leds_r_cnv 0))
          ))
          (net cpu0_oe (joined
           (portRef cpu0_oe)
           (portRef A (instanceRef un1_cen_o))
           (portRef B (instanceRef un1_cen_o_i))
          ))
          (net un1_cen_o_0 (joined
           (portRef Z (instanceRef un1_cen_o))
           (portRef un1_cen_o_0)
          ))
          (net (rename reset_cnt_1_1 "reset_cnt_1[1]") (joined
           (portRef Z (instanceRef SUM1_0))
           (portRef (member reset_cnt_1 2))
          ))
          (net mul16_w_madd (joined
           (portRef S1 (instanceRef mul16_w_madd_4_cry_1_0))
           (portRef A1 (instanceRef mul16_w_madd_cry_0_0))
           (portRef A (instanceRef mul16_w_madd_axb_0))
          ))
          (net (rename mul16_w_madd_5_4 "mul16_w_madd_5[4]") (joined
           (portRef S0 (instanceRef mul16_w_madd_1_cry_2_0))
           (portRef B1 (instanceRef mul16_w_madd_cry_0_0))
           (portRef B (instanceRef mul16_w_madd_axb_0))
          ))
          (net (rename datamux_o_dest_7 "datamux_o_dest[7]") (joined
           (portRef Z (instanceRef datamux_o_dest_7))
           (portRef A (instanceRef k_cpu_data_o_7_0_7))
           (portRef datamux_o_dest_7)
          ))
          (net (rename datamux_o_dest_0 "datamux_o_dest[0]") (joined
           (portRef Z (instanceRef datamux_o_dest_0))
           (portRef B (instanceRef k_cpu_data_o_7_am_0))
           (portRef datamux_o_dest_0)
          ))
          (net un1_state_20_0 (joined
           (portRef Z (instanceRef un1_state_20_0))
           (portRef B (instanceRef un1_state_25_RNIDQVI2))
           (portRef un1_state_20_0)
          ))
          (net un1_state_25 (joined
           (portRef Z (instanceRef un1_state_25))
           (portRef C (instanceRef un1_state_25_RNIDQVI2))
           (portRef un1_state_25)
          ))
          (net N_1237_1 (joined
           (portRef N_1237_1)
           (portRef A (instanceRef k_cpu_oe_3_0_1))
           (portRef A (instanceRef un1_state_55))
          ))
          (net k_clear_e_3_2 (joined
           (portRef k_clear_e_3_2)
           (portRef B (instanceRef k_cpu_oe_3_0_1))
           (portRef B (instanceRef k_clear_e_3))
          ))
          (net k_cpu_oe_3_0_1 (joined
           (portRef Z (instanceRef k_cpu_oe_3_0_1))
           (portRef B (instanceRef k_cpu_oe_3_0))
          ))
          (net un1_state_49_1 (joined
           (portRef un1_state_49_1)
           (portRef C (instanceRef k_cpu_oe_3_0))
          ))
          (net (rename q8_28_am_1_0 "q8_28_am_1[0]") (joined
           (portRef Z (instanceRef q8_28_am_1_0))
           (portRef C (instanceRef q8_28_am_0))
          ))
          (net v8_18_am_1 (joined
           (portRef Z (instanceRef v8_18_am_1))
           (portRef C (instanceRef v8_18_am))
          ))
          (net (rename q8_7_bm_1_4 "q8_7_bm_1[4]") (joined
           (portRef Z (instanceRef q8_7_bm_1_4))
           (portRef A (instanceRef q8_7_bm_4))
          ))
          (net (rename q8_7_bm_1_7 "q8_7_bm_1[7]") (joined
           (portRef Z (instanceRef q8_7_bm_1_7))
           (portRef B (instanceRef q8_7_bm_7))
          ))
          (net (rename datamux_o_alu_in_left_path_addr_1_0 "datamux_o_alu_in_left_path_addr_1[0]") (joined
           (portRef (member datamux_o_alu_in_left_path_addr_1 2))
           (portRef A (instanceRef datamux_o_alu_in_left_path_data_a2_x_0))
           (portRef C (instanceRef datamux_o_alu_in_left_path_data_0_1_x1_0))
           (portRef C (instanceRef datamux_o_alu_in_left_path_data_0_1_x0_0))
           (portRef D (instanceRef datamux_o_alu_in_left_path_data_0_sx_0))
           (portRef B (instanceRef datamux_o_alu_in_left_path_data_d_d_1))
           (portRef B (instanceRef datamux_o_alu_in_left_path_data_0_1_1_0))
           (portRef B (instanceRef datamux_o_alu_in_left_path_data_a2_0))
          ))
          (net (rename datamux_o_alu_in_left_path_data_0_1_1_0 "datamux_o_alu_in_left_path_data_0_1_1[0]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_data_0_1_1_0))
           (portRef B (instanceRef datamux_o_alu_in_left_path_data_0_sx_0))
          ))
          (net G_4_0_1_0 (joined
           (portRef Z (instanceRef regq16_pipe_RNO_0))
           (portRef A (instanceRef regq16_pipe_RNO))
          ))
          (net G_4_0_a2_1_0 (joined
           (portRef Z (instanceRef regq16_pipe_RNO_1))
           (portRef B (instanceRef regq16_pipe_RNO))
          ))
          (net (rename mul16_w_15 "mul16_w[15]") (joined
           (portRef S0 (instanceRef mul16_w_madd_s_11_0))
           (portRef C (instanceRef regq16_pipe_RNO))
          ))
          (net N_8_0 (joined
           (portRef Z (instanceRef regq16_pipe_RNO_4))
           (portRef A (instanceRef regq16_pipe_RNO_3))
          ))
          (net N_11_0 (joined
           (portRef Z (instanceRef regq16_pipe_RNO_3))
           (portRef B (instanceRef regq16_pipe_RNO_0))
          ))
          (net datamux_m2_1 (joined
           (portRef Z (instanceRef datamux_m2_1))
           (portRef B (instanceRef datamux_m2))
          ))
          (net (rename path_left_addr_3_0 "path_left_addr_3[0]") (joined
           (portRef (member path_left_addr_3 0))
           (portRef C (instanceRef datamux_m2))
           (portRef B (instanceRef datamux_o_alu_in_right_path_data27_1_0_o2))
          ))
          (net un1_dest_reg_2_sqmuxa_1_1_0_2 (joined
           (portRef un1_dest_reg_2_sqmuxa_1_1_0_2)
           (portRef D (instanceRef datamux_m2))
          ))
          (net (rename datamux_o_alu_in_right_path_data_1_1 "datamux_o_alu_in_right_path_data_1[1]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_right_path_data_1_0_1))
           (portRef C (instanceRef datamux_o_alu_in_right_path_data_1))
          ))
          (net (rename regs_o_right_path_data_0 "regs_o_right_path_data[1]") (joined
           (portRef regs_o_right_path_data_0)
           (portRef D (instanceRef datamux_o_alu_in_right_path_data_1))
           (portRef C (instanceRef datamux_o_alu_in_right_path_data_1_1))
          ))
          (net (rename datamux_o_alu_in_left_path_data_d_d_1_1 "datamux_o_alu_in_left_path_data_d_d_1[1]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_data_d_d_1_1))
           (portRef A (instanceRef datamux_o_alu_in_left_path_data_d_d_1))
          ))
          (net (rename ACCB_1 "ACCB[1]") (joined
           (portRef (member accb 0))
           (portRef D (instanceRef datamux_o_alu_in_left_path_data_d_d_1))
          ))
          (net k_opcode_2_rep2 (joined
           (portRef k_opcode_2_rep2)
           (portRef B (instanceRef datamux_m2_1_1))
           (portRef B (instanceRef datamux_o_alu_in_right_path_data27_1_0_a3_1))
          ))
          (net datamux_m2_1_1 (joined
           (portRef Z (instanceRef datamux_m2_1_1))
           (portRef B (instanceRef datamux_m2_1))
          ))
          (net N_72 (joined
           (portRef N_72)
           (portRef A (instanceRef datamux_m2_1))
          ))
          (net N_289 (joined
           (portRef N_289)
           (portRef C (instanceRef datamux_m2_1))
          ))
          (net k_opcode_7_rep1 (joined
           (portRef k_opcode_7_rep1)
           (portRef D (instanceRef datamux_m2_1))
           (portRef C (instanceRef datamux_o_alu_in_right_path_data27_1_0_a3_1))
          ))
          (net G_4_0_0_1 (joined
           (portRef Z (instanceRef regq16_pipe_RNO_2))
           (portRef A (instanceRef regq16_pipe_RNO_0))
          ))
          (net (rename datamux_o_alu_in_left_path_data_0_sx_0 "datamux_o_alu_in_left_path_data_0_sx[0]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_data_0_sx_0))
           (portRef B (instanceRef datamux_o_alu_in_left_path_data_0_0))
          ))
          (net (rename datamux_o_alu_in_left_path_data_0_1_0_0 "datamux_o_alu_in_left_path_data_0_1_0[0]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_data_0_1_0_0))
           (portRef A (instanceRef datamux_o_alu_in_left_path_data_0_0))
          ))
          (net N_121 (joined
           (portRef N_121)
           (portRef A (instanceRef datamux_o_alu_in_left_path_data_0_1_x1_0))
           (portRef A (instanceRef datamux_o_alu_in_left_path_data_0_1_x0_0))
           (portRef A (instanceRef datamux_o_alu_in_left_path_data_0_sx_0))
          ))
          (net (rename datamux_o_alu_in_left_path_data_0_1_x0_0 "datamux_o_alu_in_left_path_data_0_1_x0[0]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_data_0_1_x0_0))
           (portRef BLUT (instanceRef datamux_o_alu_in_left_path_data_0_1_0))
          ))
          (net (rename datamux_o_alu_in_left_path_data_0_1_x1_0 "datamux_o_alu_in_left_path_data_0_1_x1[0]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_data_0_1_x1_0))
           (portRef ALUT (instanceRef datamux_o_alu_in_left_path_data_0_1_0))
          ))
          (net datamux_o_alu_in_left_path_addr24 (joined
           (portRef datamux_o_alu_in_left_path_addr24)
           (portRef B (instanceRef datamux_o_alu_in_left_path_data_a0_0_sx_0))
           (portRef B (instanceRef datamux_m5_i))
          ))
          (net (rename datamux_o_alu_in_left_path_addr_cnst_3 "datamux_o_alu_in_left_path_addr_cnst[3]") (joined
           (portRef (member datamux_o_alu_in_left_path_addr_cnst 0))
           (portRef C (instanceRef datamux_o_alu_in_left_path_data_a0_0_sx_0))
           (portRef C (instanceRef datamux_m5_i))
          ))
          (net un1_datamux_o_alu_in_left_path_addr30_1_1 (joined
           (portRef un1_datamux_o_alu_in_left_path_addr30_1_1)
           (portRef D (instanceRef datamux_o_alu_in_left_path_data_a0_0_sx_0))
           (portRef D (instanceRef datamux_m5_i))
          ))
          (net (rename datamux_o_alu_in_left_path_data_a0_0_sx_0 "datamux_o_alu_in_left_path_data_a0_0_sx[0]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_data_a0_0_sx_0))
           (portRef A (instanceRef datamux_o_alu_in_left_path_data_a0_0_0))
          ))
          (net N_268 (joined
           (portRef N_268)
           (portRef C (instanceRef datamux_o_alu_in_left_path_data_sx_7))
          ))
          (net N_318_0 (joined
           (portRef N_318_0)
           (portRef D (instanceRef datamux_o_alu_in_left_path_data_sx_7))
          ))
          (net (rename datamux_o_alu_in_left_path_data_sx_7 "datamux_o_alu_in_left_path_data_sx[7]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_data_sx_7))
           (portRef C (instanceRef datamux_o_alu_in_left_path_data_7))
          ))
          (net (rename path_right_addr_4_0_o2_1_RNI18U71_0 "path_right_addr_4_0_o2_1_RNI18U71[0]") (joined
           (portRef (member path_right_addr_4_0_o2_1_rni18u71 0))
           (portRef A (instanceRef datamux_o_alu_in_right_path_data27_2))
          ))
          (net (rename path_right_addr_4_i_1_1 "path_right_addr_4_i_1[1]") (joined
           (portRef (member path_right_addr_4_i_1 0))
           (portRef C (instanceRef datamux_o_alu_in_right_path_data27_2))
           (portRef D (instanceRef datamux_o_alu_in_right_path_data_0_sqmuxa_846))
          ))
          (net N_174 (joined
           (portRef Z (instanceRef datamux_o_alu_in_right_path_data27_2))
           (portRef N_174)
          ))
          (net N_332 (joined
           (portRef N_332)
           (portRef D (instanceRef datamux_o_alu_in_left_path_data_mb_3))
           (portRef A (instanceRef regq8_pipe_51_RNO))
          ))
          (net (rename datamux_o_alu_in_left_path_addr_1_1 "datamux_o_alu_in_left_path_addr_1[1]") (joined
           (portRef (member datamux_o_alu_in_left_path_addr_1 1))
           (portRef A (instanceRef datamux_o_alu_in_left_path_data_mb_1_rn_3))
           (portRef B (instanceRef datamux_o_alu_in_left_path_data_a1_0_0))
          ))
          (net N_248 (joined
           (portRef N_248)
           (portRef B (instanceRef datamux_o_alu_in_left_path_data_mb_1_rn_3))
          ))
          (net N_280 (joined
           (portRef N_280)
           (portRef C (instanceRef datamux_o_alu_in_left_path_data_mb_1_rn_3))
          ))
          (net (rename datamux_o_alu_in_left_path_data_mb_1_rn_2_3 "datamux_o_alu_in_left_path_data_mb_1_rn_2[3]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_data_mb_1_rn_3))
           (portRef B (instanceRef datamux_o_alu_in_left_path_data_mb_1_mb_3))
          ))
          (net N_298 (joined
           (portRef N_298)
           (portRef A (instanceRef datamux_o_alu_in_left_path_data_d_d_1_1_1))
           (portRef C (instanceRef datamux_o_alu_in_left_path_data_a0_0_0))
          ))
          (net (rename datamux_o_alu_in_left_path_data_d_d_1_1_1 "datamux_o_alu_in_left_path_data_d_d_1_1[1]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_data_d_d_1_1_1))
           (portRef A (instanceRef datamux_o_alu_in_left_path_data_d_d_1_1))
          ))
          (net N_295 (joined
           (portRef N_295)
           (portRef B (instanceRef datamux_o_alu_in_left_path_data_d_d_1_1))
           (portRef B (instanceRef datamux_o_alu_in_left_path_data_a0_0_0))
          ))
          (net un1_dest_reg_2_sqmuxa_1_0 (joined
           (portRef un1_dest_reg_2_sqmuxa_1_0)
           (portRef D (instanceRef datamux_o_alu_in_left_path_data_a2_0_0))
           (portRef C (instanceRef datamux_o_alu_in_left_path_data_d_d_1_1))
           (portRef D (instanceRef datamux_o_alu_in_left_path_data_a0_0_0))
          ))
          (net (rename ACCA_1 "ACCA[1]") (joined
           (portRef (member acca 0))
           (portRef D (instanceRef datamux_o_alu_in_left_path_data_d_d_1_1))
           (portRef C (instanceRef datamux_o_alu_in_left_path_data_d_d_1_1_1))
          ))
          (net (rename SS_0 "SS[0]") (joined
           (portRef SS_0)
           (portRef B (instanceRef datamux_o_alu_in_left_path_data_a2_x_0))
           (portRef C (instanceRef datamux_o_alu_in_left_path_data_a2_0))
          ))
          (net (rename regs_o_pc_0 "regs_o_pc[0]") (joined
           (portRef regs_o_pc_0)
           (portRef C (instanceRef datamux_o_alu_in_left_path_data_a2_x_0))
           (portRef D (instanceRef datamux_o_alu_in_left_path_data_a2_0))
          ))
          (net (rename datamux_o_alu_in_left_path_data_a2_x_0 "datamux_o_alu_in_left_path_data_a2_x[0]") (joined
           (portRef Z (instanceRef datamux_o_alu_in_left_path_data_a2_x_0))
           (portRef A (instanceRef datamux_o_alu_in_left_path_data_0_1_0_0))
          ))
          (net datamux_o_alu_in_left_path_data6_x (joined
           (portRef datamux_o_alu_in_left_path_data6_x)
           (portRef A (instanceRef datamux_o_alu_in_left_path_data_a2_0_0))
          ))
          (net N_1960 (joined
           (portRef Z (instanceRef CCRo19_0_a2_0))
           (portRef A (instanceRef CCRo19_0_a2))
           (portRef N_1960)
          ))
          (net un1_k_pp_regs_7_sqmuxa (joined
           (portRef un1_k_pp_regs_7_sqmuxa)
           (portRef A (instanceRef k_pp_regs_27_bm_2))
           (portRef C (instanceRef k_pp_regs_27_bm_0))
          ))
          (net (rename k_pp_regs_2 "k_pp_regs[2]") (joined
           (portRef (member k_pp_regs 5))
           (portRef B (instanceRef k_pp_regs_27_bm_2))
           (portRef B (instanceRef k_pp_regs_3_sqmuxa_1_0_0))
          ))
          (net k_pp_regs_27_sn_m7_1 (joined
           (portRef k_pp_regs_27_sn_m7_1)
           (portRef D (instanceRef k_pp_regs_27_bm_2))
          ))
          (net (rename k_pp_regs_6 "k_pp_regs[6]") (joined
           (portRef (member k_pp_regs 1))
           (portRef B (instanceRef k_pp_regs_27_bm_6))
           (portRef B (instanceRef N_1470_i))
          ))
          (net un1_k_pp_regs_1_sqmuxa (joined
           (portRef un1_k_pp_regs_1_sqmuxa)
           (portRef D (instanceRef k_pp_regs_27_bm_6))
           (portRef B (instanceRef k_pp_regs_4_sqmuxa_RNI2LEI3))
          ))
          (net neg16_w_cry_14 (joined
           (portRef COUT (instanceRef neg16_w_cry_13_0))
           (portRef CIN (instanceRef neg16_w_s_15_0))
          ))
          (net neg16_w_s_15_0_COUT (joined
           (portRef COUT (instanceRef neg16_w_s_15_0))
          ))
          (net neg16_w_s_15_0_S1 (joined
           (portRef S1 (instanceRef neg16_w_s_15_0))
          ))
          (net neg16_w_cry_12 (joined
           (portRef COUT (instanceRef neg16_w_cry_11_0))
           (portRef CIN (instanceRef neg16_w_cry_13_0))
          ))
          (net neg16_w_cry_10 (joined
           (portRef COUT (instanceRef neg16_w_cry_9_0))
           (portRef CIN (instanceRef neg16_w_cry_11_0))
          ))
          (net neg16_w_cry_8 (joined
           (portRef COUT (instanceRef neg16_w_cry_7_0))
           (portRef CIN (instanceRef neg16_w_cry_9_0))
          ))
          (net neg16_w_cry_6 (joined
           (portRef COUT (instanceRef neg16_w_cry_5_0))
           (portRef CIN (instanceRef neg16_w_cry_7_0))
          ))
          (net neg16_w_cry_4 (joined
           (portRef COUT (instanceRef neg16_w_cry_3_0))
           (portRef CIN (instanceRef neg16_w_cry_5_0))
          ))
          (net neg16_w_cry_2 (joined
           (portRef COUT (instanceRef neg16_w_cry_1_0))
           (portRef CIN (instanceRef neg16_w_cry_3_0))
          ))
          (net neg16_w_cry_0 (joined
           (portRef COUT (instanceRef neg16_w_cry_0_0))
           (portRef CIN (instanceRef neg16_w_cry_1_0))
          ))
          (net neg16_w_cry_0_0_S0 (joined
           (portRef S0 (instanceRef neg16_w_cry_0_0))
          ))
          (net neg16_w_cry_0_0_S1 (joined
           (portRef S1 (instanceRef neg16_w_cry_0_0))
          ))
          (net add16_w_cry_14 (joined
           (portRef COUT (instanceRef add16_w_cry_13_0))
           (portRef CIN (instanceRef add16_w_cry_15_0))
          ))
          (net add16_w_cry_15_0_COUT (joined
           (portRef COUT (instanceRef add16_w_cry_15_0))
          ))
          (net add16_w_cry_12 (joined
           (portRef COUT (instanceRef add16_w_cry_11_0))
           (portRef CIN (instanceRef add16_w_cry_13_0))
          ))
          (net add16_w_cry_10 (joined
           (portRef COUT (instanceRef add16_w_cry_9_0))
           (portRef CIN (instanceRef add16_w_cry_11_0))
          ))
          (net add16_w_cry_8 (joined
           (portRef COUT (instanceRef add16_w_cry_7_0))
           (portRef CIN (instanceRef add16_w_cry_9_0))
          ))
          (net add16_w_cry_6 (joined
           (portRef COUT (instanceRef add16_w_cry_5_0))
           (portRef CIN (instanceRef add16_w_cry_7_0))
          ))
          (net add16_w_cry_4 (joined
           (portRef COUT (instanceRef add16_w_cry_3_0))
           (portRef CIN (instanceRef add16_w_cry_5_0))
          ))
          (net add16_w_cry_2 (joined
           (portRef COUT (instanceRef add16_w_cry_1_0))
           (portRef CIN (instanceRef add16_w_cry_3_0))
          ))
          (net add16_w_cry_0 (joined
           (portRef COUT (instanceRef add16_w_cry_0_0))
           (portRef CIN (instanceRef add16_w_cry_1_0))
          ))
          (net add16_w_cry_0_0_S0 (joined
           (portRef S0 (instanceRef add16_w_cry_0_0))
          ))
          (net add16_w_cry_0_0_S1 (joined
           (portRef S1 (instanceRef add16_w_cry_0_0))
          ))
          (net sub8_w_cry_6 (joined
           (portRef COUT (instanceRef sub8_w_cry_5_0))
           (portRef CIN (instanceRef sub8_w_cry_7_0))
          ))
          (net sub8_w_cry_7_0_COUT (joined
           (portRef COUT (instanceRef sub8_w_cry_7_0))
          ))
          (net sub8_w_cry_7_0_S0 (joined
           (portRef S0 (instanceRef sub8_w_cry_7_0))
          ))
          (net (rename sub8_w_8 "sub8_w[8]") (joined
           (portRef S1 (instanceRef sub8_w_cry_7_0))
           (portRef (member sub8_w 0))
          ))
          (net sub8_w_cry_4 (joined
           (portRef COUT (instanceRef sub8_w_cry_3_0))
           (portRef CIN (instanceRef sub8_w_cry_5_0))
          ))
          (net sub8_w_cry_5_0_S0 (joined
           (portRef S0 (instanceRef sub8_w_cry_5_0))
          ))
          (net sub8_w_cry_5_0_S1 (joined
           (portRef S1 (instanceRef sub8_w_cry_5_0))
          ))
          (net sub8_w_cry_2 (joined
           (portRef COUT (instanceRef sub8_w_cry_1_0))
           (portRef CIN (instanceRef sub8_w_cry_3_0))
          ))
          (net sub8_w_cry_3_0_S0 (joined
           (portRef S0 (instanceRef sub8_w_cry_3_0))
          ))
          (net sub8_w_cry_3_0_S1 (joined
           (portRef S1 (instanceRef sub8_w_cry_3_0))
          ))
          (net sub8_w_cry_0 (joined
           (portRef COUT (instanceRef sub8_w_cry_0_0))
           (portRef CIN (instanceRef sub8_w_cry_1_0))
          ))
          (net sub8_w_cry_1_0_S0 (joined
           (portRef S0 (instanceRef sub8_w_cry_1_0))
          ))
          (net sub8_w_cry_1_0_S1 (joined
           (portRef S1 (instanceRef sub8_w_cry_1_0))
          ))
          (net sub8_w_cry_0_0_S0 (joined
           (portRef S0 (instanceRef sub8_w_cry_0_0))
          ))
          (net sub8_w_cry_0_0_S1 (joined
           (portRef S1 (instanceRef sub8_w_cry_0_0))
          ))
          (net add8_w_cry_6 (joined
           (portRef COUT (instanceRef add8_w_cry_5_0))
           (portRef CIN (instanceRef add8_w_cry_7_0))
          ))
          (net add8_w_cry_7_0_COUT (joined
           (portRef COUT (instanceRef add8_w_cry_7_0))
          ))
          (net add8_w_cry_7_0_S0 (joined
           (portRef S0 (instanceRef add8_w_cry_7_0))
          ))
          (net (rename add8_w_8 "add8_w[8]") (joined
           (portRef S1 (instanceRef add8_w_cry_7_0))
           (portRef add8_w_8)
          ))
          (net add8_w_cry_4 (joined
           (portRef COUT (instanceRef add8_w_cry_3_0))
           (portRef CIN (instanceRef add8_w_cry_5_0))
          ))
          (net add8_w_cry_5_0_S0 (joined
           (portRef S0 (instanceRef add8_w_cry_5_0))
          ))
          (net add8_w_cry_5_0_S1 (joined
           (portRef S1 (instanceRef add8_w_cry_5_0))
          ))
          (net add8_w_cry_2 (joined
           (portRef COUT (instanceRef add8_w_cry_1_0))
           (portRef CIN (instanceRef add8_w_cry_3_0))
          ))
          (net add8_w_cry_3_0_S0 (joined
           (portRef S0 (instanceRef add8_w_cry_3_0))
          ))
          (net add8_w_cry_3_0_S1 (joined
           (portRef S1 (instanceRef add8_w_cry_3_0))
          ))
          (net add8_w_cry_0 (joined
           (portRef COUT (instanceRef add8_w_cry_0_0))
           (portRef CIN (instanceRef add8_w_cry_1_0))
          ))
          (net add8_w_cry_1_0_S0 (joined
           (portRef S0 (instanceRef add8_w_cry_1_0))
          ))
          (net add8_w_cry_1_0_S1 (joined
           (portRef S1 (instanceRef add8_w_cry_1_0))
          ))
          (net add8_w_cry_0_0_S0 (joined
           (portRef S0 (instanceRef add8_w_cry_0_0))
          ))
          (net add8_w_cry_0_0_S1 (joined
           (portRef S1 (instanceRef add8_w_cry_0_0))
          ))
          (net adc16_w_cry_14 (joined
           (portRef COUT (instanceRef adc16_w_cry_13_0))
           (portRef CIN (instanceRef adc16_w_s_15_0))
          ))
          (net adc16_w_s_15_0_COUT (joined
           (portRef COUT (instanceRef adc16_w_s_15_0))
          ))
          (net adc16_w_s_15_0_S1 (joined
           (portRef S1 (instanceRef adc16_w_s_15_0))
          ))
          (net adc16_w_cry_12 (joined
           (portRef COUT (instanceRef adc16_w_cry_11_0))
           (portRef CIN (instanceRef adc16_w_cry_13_0))
          ))
          (net adc16_w_cry_13_0_S0 (joined
           (portRef S0 (instanceRef adc16_w_cry_13_0))
          ))
          (net adc16_w_cry_13_0_S1 (joined
           (portRef S1 (instanceRef adc16_w_cry_13_0))
          ))
          (net adc16_w_cry_10 (joined
           (portRef COUT (instanceRef adc16_w_cry_9_0))
           (portRef CIN (instanceRef adc16_w_cry_11_0))
          ))
          (net adc16_w_cry_11_0_S0 (joined
           (portRef S0 (instanceRef adc16_w_cry_11_0))
          ))
          (net adc16_w_cry_11_0_S1 (joined
           (portRef S1 (instanceRef adc16_w_cry_11_0))
          ))
          (net adc16_w_cry_8 (joined
           (portRef COUT (instanceRef adc16_w_cry_7_0))
           (portRef CIN (instanceRef adc16_w_cry_9_0))
          ))
          (net adc16_w_cry_9_0_S0 (joined
           (portRef S0 (instanceRef adc16_w_cry_9_0))
          ))
          (net adc16_w_cry_9_0_S1 (joined
           (portRef S1 (instanceRef adc16_w_cry_9_0))
          ))
          (net adc16_w_cry_6 (joined
           (portRef COUT (instanceRef adc16_w_cry_5_0))
           (portRef CIN (instanceRef adc16_w_cry_7_0))
          ))
          (net adc16_w_cry_7_0_S1 (joined
           (portRef S1 (instanceRef adc16_w_cry_7_0))
          ))
          (net adc16_w_cry_4 (joined
           (portRef COUT (instanceRef adc16_w_cry_3_0))
           (portRef CIN (instanceRef adc16_w_cry_5_0))
          ))
          (net adc16_w_cry_5_0_S0 (joined
           (portRef S0 (instanceRef adc16_w_cry_5_0))
          ))
          (net adc16_w_cry_5_0_S1 (joined
           (portRef S1 (instanceRef adc16_w_cry_5_0))
          ))
          (net adc16_w_cry_2 (joined
           (portRef COUT (instanceRef adc16_w_cry_1_0))
           (portRef CIN (instanceRef adc16_w_cry_3_0))
          ))
          (net adc16_w_cry_3_0_S0 (joined
           (portRef S0 (instanceRef adc16_w_cry_3_0))
          ))
          (net adc16_w_cry_3_0_S1 (joined
           (portRef S1 (instanceRef adc16_w_cry_3_0))
          ))
          (net adc16_w_cry_0 (joined
           (portRef COUT (instanceRef adc16_w_cry_0_0))
           (portRef CIN (instanceRef adc16_w_cry_1_0))
          ))
          (net adc16_w_cry_1_0_S0 (joined
           (portRef S0 (instanceRef adc16_w_cry_1_0))
          ))
          (net adc16_w_cry_1_0_S1 (joined
           (portRef S1 (instanceRef adc16_w_cry_1_0))
          ))
          (net adc16_w_cry_0_0_S0 (joined
           (portRef S0 (instanceRef adc16_w_cry_0_0))
          ))
          (net adc16_w_cry_0_0_S1 (joined
           (portRef S1 (instanceRef adc16_w_cry_0_0))
          ))
          (net sub16_w_cry_14 (joined
           (portRef COUT (instanceRef sub16_w_cry_13_0))
           (portRef CIN (instanceRef sub16_w_cry_15_0))
          ))
          (net sub16_w_cry_15_0_COUT (joined
           (portRef COUT (instanceRef sub16_w_cry_15_0))
          ))
          (net sub16_w_cry_12 (joined
           (portRef COUT (instanceRef sub16_w_cry_11_0))
           (portRef CIN (instanceRef sub16_w_cry_13_0))
          ))
          (net sub16_w_cry_10 (joined
           (portRef COUT (instanceRef sub16_w_cry_9_0))
           (portRef CIN (instanceRef sub16_w_cry_11_0))
          ))
          (net sub16_w_cry_8 (joined
           (portRef COUT (instanceRef sub16_w_cry_7_0))
           (portRef CIN (instanceRef sub16_w_cry_9_0))
          ))
          (net sub16_w_cry_6 (joined
           (portRef COUT (instanceRef sub16_w_cry_5_0))
           (portRef CIN (instanceRef sub16_w_cry_7_0))
          ))
          (net sub16_w_cry_4 (joined
           (portRef COUT (instanceRef sub16_w_cry_3_0))
           (portRef CIN (instanceRef sub16_w_cry_5_0))
          ))
          (net sub16_w_cry_2 (joined
           (portRef COUT (instanceRef sub16_w_cry_1_0))
           (portRef CIN (instanceRef sub16_w_cry_3_0))
          ))
          (net sub16_w_cry_0 (joined
           (portRef COUT (instanceRef sub16_w_cry_0_0))
           (portRef CIN (instanceRef sub16_w_cry_1_0))
          ))
          (net sub16_w_cry_0_0_S0 (joined
           (portRef S0 (instanceRef sub16_w_cry_0_0))
          ))
          (net sub16_w_cry_0_0_S1 (joined
           (portRef S1 (instanceRef sub16_w_cry_0_0))
          ))
          (net sbc16_w_cry_14 (joined
           (portRef COUT (instanceRef sbc16_w_cry_13_0))
           (portRef CIN (instanceRef sbc16_w_cry_15_0))
          ))
          (net sbc16_w_cry_15_0_COUT (joined
           (portRef COUT (instanceRef sbc16_w_cry_15_0))
          ))
          (net (rename sbc16_w_16 "sbc16_w[16]") (joined
           (portRef S1 (instanceRef sbc16_w_cry_15_0))
           (portRef sbc16_w_16)
          ))
          (net sbc16_w_cry_12 (joined
           (portRef COUT (instanceRef sbc16_w_cry_11_0))
           (portRef CIN (instanceRef sbc16_w_cry_13_0))
          ))
          (net sbc16_w_cry_10 (joined
           (portRef COUT (instanceRef sbc16_w_cry_9_0))
           (portRef CIN (instanceRef sbc16_w_cry_11_0))
          ))
          (net sbc16_w_cry_8 (joined
           (portRef COUT (instanceRef sbc16_w_cry_7_0))
           (portRef CIN (instanceRef sbc16_w_cry_9_0))
          ))
          (net sbc16_w_cry_6 (joined
           (portRef COUT (instanceRef sbc16_w_cry_5_0))
           (portRef CIN (instanceRef sbc16_w_cry_7_0))
          ))
          (net sbc16_w_cry_4 (joined
           (portRef COUT (instanceRef sbc16_w_cry_3_0))
           (portRef CIN (instanceRef sbc16_w_cry_5_0))
          ))
          (net sbc16_w_cry_2 (joined
           (portRef COUT (instanceRef sbc16_w_cry_1_0))
           (portRef CIN (instanceRef sbc16_w_cry_3_0))
          ))
          (net sbc16_w_cry_0 (joined
           (portRef COUT (instanceRef sbc16_w_cry_0_0))
           (portRef CIN (instanceRef sbc16_w_cry_1_0))
          ))
          (net sbc16_w_cry_0_0_S0 (joined
           (portRef S0 (instanceRef sbc16_w_cry_0_0))
          ))
          (net sbc8_w_cry_6 (joined
           (portRef COUT (instanceRef sbc8_w_cry_5_0))
           (portRef CIN (instanceRef sbc8_w_cry_7_0))
          ))
          (net sbc8_w_cry_7_0_COUT (joined
           (portRef COUT (instanceRef sbc8_w_cry_7_0))
          ))
          (net sbc8_w_cry_7_0_S0 (joined
           (portRef S0 (instanceRef sbc8_w_cry_7_0))
          ))
          (net (rename sbc8_w_8 "sbc8_w[8]") (joined
           (portRef S1 (instanceRef sbc8_w_cry_7_0))
           (portRef sbc8_w_8)
          ))
          (net sbc8_w_cry_4 (joined
           (portRef COUT (instanceRef sbc8_w_cry_3_0))
           (portRef CIN (instanceRef sbc8_w_cry_5_0))
          ))
          (net sbc8_w_cry_5_0_S0 (joined
           (portRef S0 (instanceRef sbc8_w_cry_5_0))
          ))
          (net sbc8_w_cry_5_0_S1 (joined
           (portRef S1 (instanceRef sbc8_w_cry_5_0))
          ))
          (net sbc8_w_cry_2 (joined
           (portRef COUT (instanceRef sbc8_w_cry_1_0))
           (portRef CIN (instanceRef sbc8_w_cry_3_0))
          ))
          (net sbc8_w_cry_3_0_S0 (joined
           (portRef S0 (instanceRef sbc8_w_cry_3_0))
          ))
          (net sbc8_w_cry_3_0_S1 (joined
           (portRef S1 (instanceRef sbc8_w_cry_3_0))
          ))
          (net sbc8_w_cry_0 (joined
           (portRef COUT (instanceRef sbc8_w_cry_0_0))
           (portRef CIN (instanceRef sbc8_w_cry_1_0))
          ))
          (net sbc8_w_cry_1_0_S0 (joined
           (portRef S0 (instanceRef sbc8_w_cry_1_0))
          ))
          (net sbc8_w_cry_1_0_S1 (joined
           (portRef S1 (instanceRef sbc8_w_cry_1_0))
          ))
          (net (rename regs_o_CCR_i_0 "regs_o_CCR_i[0]") (joined
           (portRef (member regs_o_ccr_i 0))
           (portRef B0 (instanceRef sbc8_w_cry_0_0))
           (portRef B0 (instanceRef sbc16_w_cry_0_0))
          ))
          (net sbc8_w_cry_0_0_S0 (joined
           (portRef S0 (instanceRef sbc8_w_cry_0_0))
          ))
          (net (rename mul16_w_madd_3_11 "mul16_w_madd_3[11]") (joined
           (portRef S1 (instanceRef mul16_w_madd_3_cry_4_0))
           (portRef A0 (instanceRef mul16_w_madd_4_cry_9_0))
          ))
          (net mul16_w_madd_4_cry_8 (joined
           (portRef COUT (instanceRef mul16_w_madd_4_cry_7_0))
           (portRef CIN (instanceRef mul16_w_madd_4_cry_9_0))
          ))
          (net mul16_w_madd_4_cry_9_0_COUT (joined
           (portRef COUT (instanceRef mul16_w_madd_4_cry_9_0))
          ))
          (net (rename mul16_w_madd_4_11 "mul16_w_madd_4[11]") (joined
           (portRef S0 (instanceRef mul16_w_madd_4_cry_9_0))
           (portRef B0 (instanceRef mul16_w_madd_cry_7_0))
          ))
          (net (rename mul16_w_madd_4_12 "mul16_w_madd_4[12]") (joined
           (portRef S1 (instanceRef mul16_w_madd_4_cry_9_0))
           (portRef B1 (instanceRef mul16_w_madd_cry_7_0))
          ))
          (net (rename mul16_w_madd_3_9 "mul16_w_madd_3[9]") (joined
           (portRef S1 (instanceRef mul16_w_madd_3_cry_2_0))
           (portRef A0 (instanceRef mul16_w_madd_4_cry_7_0))
          ))
          (net (rename mul16_w_madd_0_9 "mul16_w_madd_0[9]") (joined
           (portRef S1 (instanceRef mul16_w_madd_0_cry_7_0))
           (portRef B0 (instanceRef mul16_w_madd_4_cry_7_0))
          ))
          (net (rename mul16_w_madd_3_10 "mul16_w_madd_3[10]") (joined
           (portRef S0 (instanceRef mul16_w_madd_3_cry_4_0))
           (portRef A1 (instanceRef mul16_w_madd_4_cry_7_0))
          ))
          (net mul16_w_madd_4_cry_6 (joined
           (portRef COUT (instanceRef mul16_w_madd_4_cry_5_0))
           (portRef CIN (instanceRef mul16_w_madd_4_cry_7_0))
          ))
          (net (rename mul16_w_madd_4_9 "mul16_w_madd_4[9]") (joined
           (portRef S0 (instanceRef mul16_w_madd_4_cry_7_0))
           (portRef B0 (instanceRef mul16_w_madd_cry_5_0))
          ))
          (net (rename mul16_w_madd_4_10 "mul16_w_madd_4[10]") (joined
           (portRef S1 (instanceRef mul16_w_madd_4_cry_7_0))
           (portRef B1 (instanceRef mul16_w_madd_cry_5_0))
          ))
          (net (rename mul16_w_madd_2_7 "mul16_w_madd_2[7]") (joined
           (portRef S1 (instanceRef mul16_w_madd_2_cry_2_0))
           (portRef C0 (instanceRef mul16_w_madd_4_cry_5_0))
          ))
          (net (rename mul16_w_madd_3_8 "mul16_w_madd_3[8]") (joined
           (portRef S0 (instanceRef mul16_w_madd_3_cry_2_0))
           (portRef A1 (instanceRef mul16_w_madd_4_cry_5_0))
          ))
          (net (rename mul16_w_madd_2_8 "mul16_w_madd_2[8]") (joined
           (portRef S0 (instanceRef mul16_w_madd_2_cry_4_0))
           (portRef B1 (instanceRef mul16_w_madd_4_cry_5_0))
          ))
          (net mul16_w_madd_4_cry_4 (joined
           (portRef COUT (instanceRef mul16_w_madd_4_cry_3_0))
           (portRef CIN (instanceRef mul16_w_madd_4_cry_5_0))
          ))
          (net (rename mul16_w_madd_4_7 "mul16_w_madd_4[7]") (joined
           (portRef S0 (instanceRef mul16_w_madd_4_cry_5_0))
           (portRef B0 (instanceRef mul16_w_madd_cry_3_0))
          ))
          (net (rename mul16_w_madd_4_8 "mul16_w_madd_4[8]") (joined
           (portRef S1 (instanceRef mul16_w_madd_4_cry_5_0))
           (portRef B1 (instanceRef mul16_w_madd_cry_3_0))
          ))
          (net (rename mul16_w_madd_1_5 "mul16_w_madd_1[5]") (joined
           (portRef S1 (instanceRef mul16_w_madd_1_cry_2_0))
           (portRef A0 (instanceRef mul16_w_madd_4_cry_3_0))
          ))
          (net (rename mul16_w_madd_0_5 "mul16_w_madd_0[5]") (joined
           (portRef S1 (instanceRef mul16_w_madd_0_cry_3_0))
           (portRef B0 (instanceRef mul16_w_madd_4_cry_3_0))
          ))
          (net (rename mul16_w_madd_2_6 "mul16_w_madd_2[6]") (joined
           (portRef S0 (instanceRef mul16_w_madd_2_cry_2_0))
           (portRef C1 (instanceRef mul16_w_madd_4_cry_3_0))
          ))
          (net mul16_w_madd_4_cry_2 (joined
           (portRef COUT (instanceRef mul16_w_madd_4_cry_1_0))
           (portRef CIN (instanceRef mul16_w_madd_4_cry_3_0))
          ))
          (net (rename mul16_w_madd_4_5 "mul16_w_madd_4[5]") (joined
           (portRef S0 (instanceRef mul16_w_madd_4_cry_3_0))
           (portRef A0 (instanceRef mul16_w_madd_cry_1_0))
          ))
          (net (rename mul16_w_madd_4_6 "mul16_w_madd_4[6]") (joined
           (portRef S1 (instanceRef mul16_w_madd_4_cry_3_0))
           (portRef A1 (instanceRef mul16_w_madd_cry_1_0))
          ))
          (net (rename mul16_w_madd_0_3 "mul16_w_madd_0[3]") (joined
           (portRef S1 (instanceRef mul16_w_madd_0_cry_1_0))
           (portRef C0 (instanceRef mul16_w_madd_4_cry_1_0))
          ))
          (net (rename mul16_w_madd_0_4 "mul16_w_madd_0[4]") (joined
           (portRef S0 (instanceRef mul16_w_madd_0_cry_3_0))
           (portRef C1 (instanceRef mul16_w_madd_4_cry_1_0))
          ))
          (net mul16_w_madd_4_cry_0 (joined
           (portRef COUT (instanceRef mul16_w_madd_4_cry_0_0))
           (portRef CIN (instanceRef mul16_w_madd_4_cry_1_0))
          ))
          (net mul16_w_madd_4_cry_0_0_S0 (joined
           (portRef S0 (instanceRef mul16_w_madd_4_cry_0_0))
          ))
          (net mul16_w_madd_4_cry_0_0_S1 (joined
           (portRef S1 (instanceRef mul16_w_madd_4_cry_0_0))
          ))
          (net (rename mul16_w_madd_3_15 "mul16_w_madd_3[15]") (joined
           (portRef S1 (instanceRef mul16_w_madd_3_cry_8_0))
           (portRef A0 (instanceRef mul16_w_madd_5_s_11_0))
          ))
          (net mul16_w_madd_5_cry_10 (joined
           (portRef COUT (instanceRef mul16_w_madd_5_cry_9_0))
           (portRef CIN (instanceRef mul16_w_madd_5_s_11_0))
          ))
          (net mul16_w_madd_5_s_11_0_COUT (joined
           (portRef COUT (instanceRef mul16_w_madd_5_s_11_0))
          ))
          (net (rename mul16_w_madd_5_15 "mul16_w_madd_5[15]") (joined
           (portRef S0 (instanceRef mul16_w_madd_5_s_11_0))
           (portRef A0 (instanceRef mul16_w_madd_s_11_0))
          ))
          (net mul16_w_madd_5_s_11_0_S1 (joined
           (portRef S1 (instanceRef mul16_w_madd_5_s_11_0))
          ))
          (net (rename mul16_w_madd_3_13 "mul16_w_madd_3[13]") (joined
           (portRef S1 (instanceRef mul16_w_madd_3_cry_6_0))
           (portRef A0 (instanceRef mul16_w_madd_5_cry_9_0))
          ))
          (net (rename mul16_w_madd_2_13 "mul16_w_madd_2[13]") (joined
           (portRef S1 (instanceRef mul16_w_madd_2_cry_8_0))
           (portRef B0 (instanceRef mul16_w_madd_5_cry_9_0))
          ))
          (net (rename mul16_w_madd_3_14 "mul16_w_madd_3[14]") (joined
           (portRef S0 (instanceRef mul16_w_madd_3_cry_8_0))
           (portRef A1 (instanceRef mul16_w_madd_5_cry_9_0))
          ))
          (net mul16_w_madd_5_cry_8 (joined
           (portRef COUT (instanceRef mul16_w_madd_5_cry_7_0))
           (portRef CIN (instanceRef mul16_w_madd_5_cry_9_0))
          ))
          (net mul16_w_madd_axb_9 (joined
           (portRef S0 (instanceRef mul16_w_madd_5_cry_9_0))
           (portRef A0 (instanceRef mul16_w_madd_cry_9_0))
          ))
          (net (rename mul16_w_madd_5_14 "mul16_w_madd_5[14]") (joined
           (portRef S1 (instanceRef mul16_w_madd_5_cry_9_0))
           (portRef A1 (instanceRef mul16_w_madd_cry_9_0))
          ))
          (net (rename mul16_w_madd_2_11 "mul16_w_madd_2[11]") (joined
           (portRef S1 (instanceRef mul16_w_madd_2_cry_6_0))
           (portRef A0 (instanceRef mul16_w_madd_5_cry_7_0))
          ))
          (net (rename mul16_w_madd_1_11 "mul16_w_madd_1[11]") (joined
           (portRef S1 (instanceRef mul16_w_madd_1_cry_8_0))
           (portRef B0 (instanceRef mul16_w_madd_5_cry_7_0))
          ))
          (net (rename mul16_w_madd_3_12 "mul16_w_madd_3[12]") (joined
           (portRef S0 (instanceRef mul16_w_madd_3_cry_6_0))
           (portRef A1 (instanceRef mul16_w_madd_5_cry_7_0))
          ))
          (net (rename mul16_w_madd_2_12 "mul16_w_madd_2[12]") (joined
           (portRef S0 (instanceRef mul16_w_madd_2_cry_8_0))
           (portRef B1 (instanceRef mul16_w_madd_5_cry_7_0))
          ))
          (net mul16_w_madd_5_cry_6 (joined
           (portRef COUT (instanceRef mul16_w_madd_5_cry_5_0))
           (portRef CIN (instanceRef mul16_w_madd_5_cry_7_0))
          ))
          (net (rename mul16_w_madd_5_11 "mul16_w_madd_5[11]") (joined
           (portRef S0 (instanceRef mul16_w_madd_5_cry_7_0))
           (portRef A0 (instanceRef mul16_w_madd_cry_7_0))
          ))
          (net (rename mul16_w_madd_5_12 "mul16_w_madd_5[12]") (joined
           (portRef S1 (instanceRef mul16_w_madd_5_cry_7_0))
           (portRef A1 (instanceRef mul16_w_madd_cry_7_0))
          ))
          (net (rename mul16_w_madd_1_9 "mul16_w_madd_1[9]") (joined
           (portRef S1 (instanceRef mul16_w_madd_1_cry_6_0))
           (portRef A0 (instanceRef mul16_w_madd_5_cry_5_0))
          ))
          (net (rename mul16_w_madd_2_9 "mul16_w_madd_2[9]") (joined
           (portRef S1 (instanceRef mul16_w_madd_2_cry_4_0))
           (portRef B0 (instanceRef mul16_w_madd_5_cry_5_0))
          ))
          (net (rename mul16_w_madd_1_10 "mul16_w_madd_1[10]") (joined
           (portRef S0 (instanceRef mul16_w_madd_1_cry_8_0))
           (portRef A1 (instanceRef mul16_w_madd_5_cry_5_0))
          ))
          (net (rename mul16_w_madd_2_10 "mul16_w_madd_2[10]") (joined
           (portRef S0 (instanceRef mul16_w_madd_2_cry_6_0))
           (portRef B1 (instanceRef mul16_w_madd_5_cry_5_0))
          ))
          (net mul16_w_madd_5_cry_4 (joined
           (portRef COUT (instanceRef mul16_w_madd_5_cry_3_0))
           (portRef CIN (instanceRef mul16_w_madd_5_cry_5_0))
          ))
          (net (rename mul16_w_madd_5_9 "mul16_w_madd_5[9]") (joined
           (portRef S0 (instanceRef mul16_w_madd_5_cry_5_0))
           (portRef A0 (instanceRef mul16_w_madd_cry_5_0))
          ))
          (net (rename mul16_w_madd_5_10 "mul16_w_madd_5[10]") (joined
           (portRef S1 (instanceRef mul16_w_madd_5_cry_5_0))
           (portRef A1 (instanceRef mul16_w_madd_cry_5_0))
          ))
          (net (rename mul16_w_madd_1_7 "mul16_w_madd_1[7]") (joined
           (portRef S1 (instanceRef mul16_w_madd_1_cry_4_0))
           (portRef A0 (instanceRef mul16_w_madd_5_cry_3_0))
          ))
          (net (rename mul16_w_madd_0_7 "mul16_w_madd_0[7]") (joined
           (portRef S1 (instanceRef mul16_w_madd_0_cry_5_0))
           (portRef B0 (instanceRef mul16_w_madd_5_cry_3_0))
          ))
          (net (rename mul16_w_madd_1_8 "mul16_w_madd_1[8]") (joined
           (portRef S0 (instanceRef mul16_w_madd_1_cry_6_0))
           (portRef A1 (instanceRef mul16_w_madd_5_cry_3_0))
          ))
          (net (rename mul16_w_madd_0_8 "mul16_w_madd_0[8]") (joined
           (portRef S0 (instanceRef mul16_w_madd_0_cry_7_0))
           (portRef B1 (instanceRef mul16_w_madd_5_cry_3_0))
          ))
          (net mul16_w_madd_5_cry_2 (joined
           (portRef COUT (instanceRef mul16_w_madd_5_cry_2_0))
           (portRef CIN (instanceRef mul16_w_madd_5_cry_3_0))
          ))
          (net (rename mul16_w_madd_5_7 "mul16_w_madd_5[7]") (joined
           (portRef S0 (instanceRef mul16_w_madd_5_cry_3_0))
           (portRef A0 (instanceRef mul16_w_madd_cry_3_0))
          ))
          (net (rename mul16_w_madd_5_8 "mul16_w_madd_5[8]") (joined
           (portRef S1 (instanceRef mul16_w_madd_5_cry_3_0))
           (portRef A1 (instanceRef mul16_w_madd_cry_3_0))
          ))
          (net mul16_w_madd_5 (joined
           (portRef S0 (instanceRef mul16_w_madd_1_cry_4_0))
           (portRef C1 (instanceRef mul16_w_madd_cry_1_0))
           (portRef A1 (instanceRef mul16_w_madd_5_cry_2_0))
          ))
          (net (rename mul16_w_madd_0_6 "mul16_w_madd_0[6]") (joined
           (portRef S0 (instanceRef mul16_w_madd_0_cry_5_0))
           (portRef B1 (instanceRef mul16_w_madd_cry_1_0))
           (portRef B1 (instanceRef mul16_w_madd_5_cry_2_0))
          ))
          (net mul16_w_madd_5_cry_2_0_S0 (joined
           (portRef S0 (instanceRef mul16_w_madd_5_cry_2_0))
          ))
          (net mul16_w_madd_5_cry_2_0_S1 (joined
           (portRef S1 (instanceRef mul16_w_madd_5_cry_2_0))
          ))
          (net mul16_w_madd_cry_10 (joined
           (portRef COUT (instanceRef mul16_w_madd_cry_9_0))
           (portRef CIN (instanceRef mul16_w_madd_s_11_0))
          ))
          (net mul16_w_madd_s_11_0_COUT (joined
           (portRef COUT (instanceRef mul16_w_madd_s_11_0))
          ))
          (net mul16_w_madd_s_11_0_S1 (joined
           (portRef S1 (instanceRef mul16_w_madd_s_11_0))
          ))
          (net mul16_w_madd_cry_8 (joined
           (portRef COUT (instanceRef mul16_w_madd_cry_7_0))
           (portRef CIN (instanceRef mul16_w_madd_cry_9_0))
          ))
          (net mul16_w_madd_cry_6 (joined
           (portRef COUT (instanceRef mul16_w_madd_cry_5_0))
           (portRef CIN (instanceRef mul16_w_madd_cry_7_0))
          ))
          (net mul16_w_madd_cry_4 (joined
           (portRef COUT (instanceRef mul16_w_madd_cry_3_0))
           (portRef CIN (instanceRef mul16_w_madd_cry_5_0))
          ))
          (net mul16_w_madd_cry_2 (joined
           (portRef COUT (instanceRef mul16_w_madd_cry_1_0))
           (portRef CIN (instanceRef mul16_w_madd_cry_3_0))
          ))
          (net (rename mul16_w_4 "mul16_w[7]") (joined
           (portRef S0 (instanceRef mul16_w_madd_cry_3_0))
           (portRef B (instanceRef q16_7))
           (portRef mul16_w_4)
          ))
          (net mul16_w_madd_cry_0 (joined
           (portRef COUT (instanceRef mul16_w_madd_cry_0_0))
           (portRef CIN (instanceRef mul16_w_madd_cry_1_0))
          ))
          (net mul16_w_madd_cry_0_0_S0 (joined
           (portRef S0 (instanceRef mul16_w_madd_cry_0_0))
          ))
          (net mul16_w_madd_cry_0_0_S1 (joined
           (portRef S1 (instanceRef mul16_w_madd_cry_0_0))
          ))
          (net mul16_w_madd_0_cry_6 (joined
           (portRef COUT (instanceRef mul16_w_madd_0_cry_5_0))
           (portRef CIN (instanceRef mul16_w_madd_0_cry_7_0))
          ))
          (net mul16_w_madd_0_cry_7_0_COUT (joined
           (portRef COUT (instanceRef mul16_w_madd_0_cry_7_0))
          ))
          (net mul16_w_madd_0_cry_4 (joined
           (portRef COUT (instanceRef mul16_w_madd_0_cry_3_0))
           (portRef CIN (instanceRef mul16_w_madd_0_cry_5_0))
          ))
          (net mul16_w_madd_0_cry_2 (joined
           (portRef COUT (instanceRef mul16_w_madd_0_cry_1_0))
           (portRef CIN (instanceRef mul16_w_madd_0_cry_3_0))
          ))
          (net mul16_w_madd_0_cry_0 (joined
           (portRef COUT (instanceRef mul16_w_madd_0_cry_0_0))
           (portRef CIN (instanceRef mul16_w_madd_0_cry_1_0))
          ))
          (net mul16_w_madd_0_cry_0_0_S0 (joined
           (portRef S0 (instanceRef mul16_w_madd_0_cry_0_0))
          ))
          (net mul16_w_madd_0_cry_0_0_S1 (joined
           (portRef S1 (instanceRef mul16_w_madd_0_cry_0_0))
          ))
          (net mul16_w_madd_1_cry_7 (joined
           (portRef COUT (instanceRef mul16_w_madd_1_cry_6_0))
           (portRef CIN (instanceRef mul16_w_madd_1_cry_8_0))
          ))
          (net mul16_w_madd_1_cry_8_0_COUT (joined
           (portRef COUT (instanceRef mul16_w_madd_1_cry_8_0))
          ))
          (net mul16_w_madd_1_cry_5 (joined
           (portRef COUT (instanceRef mul16_w_madd_1_cry_4_0))
           (portRef CIN (instanceRef mul16_w_madd_1_cry_6_0))
          ))
          (net mul16_w_madd_1_cry_3 (joined
           (portRef COUT (instanceRef mul16_w_madd_1_cry_2_0))
           (portRef CIN (instanceRef mul16_w_madd_1_cry_4_0))
          ))
          (net mul16_w_madd_1_cry_1 (joined
           (portRef COUT (instanceRef mul16_w_madd_1_cry_1_0))
           (portRef CIN (instanceRef mul16_w_madd_1_cry_2_0))
          ))
          (net mul16_w_madd_1_cry_1_0_S0 (joined
           (portRef S0 (instanceRef mul16_w_madd_1_cry_1_0))
          ))
          (net mul16_w_madd_1_cry_1_0_S1 (joined
           (portRef S1 (instanceRef mul16_w_madd_1_cry_1_0))
          ))
          (net mul16_w_madd_2_cry_7 (joined
           (portRef COUT (instanceRef mul16_w_madd_2_cry_6_0))
           (portRef CIN (instanceRef mul16_w_madd_2_cry_8_0))
          ))
          (net mul16_w_madd_2_cry_8_0_COUT (joined
           (portRef COUT (instanceRef mul16_w_madd_2_cry_8_0))
          ))
          (net mul16_w_madd_2_cry_5 (joined
           (portRef COUT (instanceRef mul16_w_madd_2_cry_4_0))
           (portRef CIN (instanceRef mul16_w_madd_2_cry_6_0))
          ))
          (net mul16_w_madd_2_cry_3 (joined
           (portRef COUT (instanceRef mul16_w_madd_2_cry_2_0))
           (portRef CIN (instanceRef mul16_w_madd_2_cry_4_0))
          ))
          (net mul16_w_madd_2_cry_1 (joined
           (portRef COUT (instanceRef mul16_w_madd_2_cry_1_0))
           (portRef CIN (instanceRef mul16_w_madd_2_cry_2_0))
          ))
          (net mul16_w_madd_2_cry_1_0_S0 (joined
           (portRef S0 (instanceRef mul16_w_madd_2_cry_1_0))
          ))
          (net mul16_w_madd_2_cry_1_0_S1 (joined
           (portRef S1 (instanceRef mul16_w_madd_2_cry_1_0))
          ))
          (net mul16_w_madd_3_cry_7 (joined
           (portRef COUT (instanceRef mul16_w_madd_3_cry_6_0))
           (portRef CIN (instanceRef mul16_w_madd_3_cry_8_0))
          ))
          (net mul16_w_madd_3_cry_8_0_COUT (joined
           (portRef COUT (instanceRef mul16_w_madd_3_cry_8_0))
          ))
          (net mul16_w_madd_3_cry_5 (joined
           (portRef COUT (instanceRef mul16_w_madd_3_cry_4_0))
           (portRef CIN (instanceRef mul16_w_madd_3_cry_6_0))
          ))
          (net mul16_w_madd_3_cry_3 (joined
           (portRef COUT (instanceRef mul16_w_madd_3_cry_2_0))
           (portRef CIN (instanceRef mul16_w_madd_3_cry_4_0))
          ))
          (net mul16_w_madd_3_cry_1 (joined
           (portRef COUT (instanceRef mul16_w_madd_3_cry_1_0))
           (portRef CIN (instanceRef mul16_w_madd_3_cry_2_0))
          ))
          (net mul16_w_madd_3_cry_1_0_S0 (joined
           (portRef S0 (instanceRef mul16_w_madd_3_cry_1_0))
          ))
          (net mul16_w_madd_3_cry_1_0_S1 (joined
           (portRef S1 (instanceRef mul16_w_madd_3_cry_1_0))
          ))
          (net N_1 (joined
           (portRef CIN (instanceRef mul16_w_madd_3_cry_1_0))
          ))
          (net N_2 (joined
           (portRef CIN (instanceRef mul16_w_madd_2_cry_1_0))
          ))
          (net N_3 (joined
           (portRef CIN (instanceRef mul16_w_madd_1_cry_1_0))
          ))
          (net N_4 (joined
           (portRef CIN (instanceRef mul16_w_madd_0_cry_0_0))
          ))
          (net N_5 (joined
           (portRef CIN (instanceRef mul16_w_madd_cry_0_0))
          ))
          (net N_6 (joined
           (portRef CIN (instanceRef mul16_w_madd_5_cry_2_0))
          ))
          (net N_7 (joined
           (portRef CIN (instanceRef mul16_w_madd_4_cry_0_0))
          ))
          (net N_8 (joined
           (portRef CIN (instanceRef sbc8_w_cry_0_0))
          ))
          (net N_9 (joined
           (portRef CIN (instanceRef sbc16_w_cry_0_0))
          ))
          (net N_10 (joined
           (portRef CIN (instanceRef sub16_w_cry_0_0))
          ))
          (net N_11 (joined
           (portRef CIN (instanceRef adc16_w_cry_0_0))
          ))
          (net N_12 (joined
           (portRef CIN (instanceRef add8_w_cry_0_0))
          ))
          (net N_13 (joined
           (portRef CIN (instanceRef sub8_w_cry_0_0))
          ))
          (net N_14 (joined
           (portRef CIN (instanceRef add16_w_cry_0_0))
          ))
          (net N_15 (joined
           (portRef CIN (instanceRef neg16_w_cry_0_0))
          ))
         )
        (property orig_inst_of (string "alu16"))
       )
    )
    (cell bios2k (cellType GENERIC)
       (view netlist (viewType NETLIST)
         (interface
           (port (array (rename addr_o_c "addr_o_c[10:0]") 11) (direction INPUT))
           (port (array (rename cpu0_data_out "cpu0_data_out[7:0]") 8) (direction INPUT))
           (port (array (rename cpu0_data_in "cpu0_data_in[7:0]") 8) (direction OUTPUT))
           (port un1_cen_o_0 (direction INPUT))
           (port clk40_i_c (direction INPUT))
           (port cpu0_we (direction INPUT))
         )
         (contents
          (instance bios2k_0_0_1 (viewRef PRIM (cellRef DP8KC (libraryRef LUCENT)))
           (property MEM_LPC_FILE (string "bios2k.lpc"))
           (property MEM_INIT_FILE (string "test1.mem"))
           (property INIT_DATA (string "STATIC"))
           (property ASYNC_RESET_RELEASE (string "SYNC"))
           (property INITVAL_1F (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
           (property INITVAL_1E (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
           (property INITVAL_1D (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
           (property INITVAL_1C (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
           (property INITVAL_1B (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
           (property INITVAL_1A (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
           (property INITVAL_19 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
           (property INITVAL_18 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
           (property INITVAL_17 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
           (property INITVAL_16 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
           (property INITVAL_15 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
           (property INITVAL_14 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
           (property INITVAL_13 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
           (property INITVAL_12 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
           (property INITVAL_11 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
           (property INITVAL_10 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
           (property INITVAL_0F (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
           (property INITVAL_0E (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
           (property INITVAL_0D (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
           (property INITVAL_0C (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
           (property INITVAL_0B (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
           (property INITVAL_0A (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
           (property INITVAL_09 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
           (property INITVAL_08 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
           (property INITVAL_07 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
           (property INITVAL_06 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
           (property INITVAL_05 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
           (property INITVAL_04 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
           (property INITVAL_03 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
           (property INITVAL_02 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
           (property INITVAL_01 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
           (property INITVAL_00 (string "0x0000000000000000000000000000000000000000000000000000000000000004002ECB0C07C1CC06"))
           (property CSDECODE_B (string "0b000"))
           (property CSDECODE_A (string "0b000"))
           (property WRITEMODE_B (string "NORMAL"))
           (property WRITEMODE_A (string "NORMAL"))
           (property GSR (string "ENABLED"))
           (property RESETMODE (string "ASYNC"))
           (property REGMODE_B (string "NOREG"))
           (property REGMODE_A (string "NOREG"))
           (property DATA_WIDTH_B (integer 4))
           (property DATA_WIDTH_A (integer 4))
          )
          (instance bios2k_0_1_0 (viewRef PRIM (cellRef DP8KC (libraryRef LUCENT)))
           (property MEM_LPC_FILE (string "bios2k.lpc"))
           (property MEM_INIT_FILE (string "test1.mem"))
           (property INIT_DATA (string "STATIC"))
           (property ASYNC_RESET_RELEASE (string "SYNC"))
           (property INITVAL_1F (string "0x01E00000000000000000000000000000000000000000000000000000000000000000000000000000"))
           (property INITVAL_1E (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
           (property INITVAL_1D (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
           (property INITVAL_1C (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
           (property INITVAL_1B (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
           (property INITVAL_1A (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
           (property INITVAL_19 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
           (property INITVAL_18 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
           (property INITVAL_17 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
           (property INITVAL_16 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
           (property INITVAL_15 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
           (property INITVAL_14 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
           (property INITVAL_13 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
           (property INITVAL_12 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
           (property INITVAL_11 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
           (property INITVAL_10 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
           (property INITVAL_0F (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
           (property INITVAL_0E (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
           (property INITVAL_0D (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
           (property INITVAL_0C (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
           (property INITVAL_0B (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
           (property INITVAL_0A (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
           (property INITVAL_09 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
           (property INITVAL_08 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
           (property INITVAL_07 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
           (property INITVAL_06 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
           (property INITVAL_05 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
           (property INITVAL_04 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
           (property INITVAL_03 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
           (property INITVAL_02 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
           (property INITVAL_01 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
           (property INITVAL_00 (string "0x000000000000000000000000000000000000000000000000000000000000000F201A5F040941F008"))
           (property CSDECODE_B (string "0b000"))
           (property CSDECODE_A (string "0b000"))
           (property WRITEMODE_B (string "NORMAL"))
           (property WRITEMODE_A (string "NORMAL"))
           (property GSR (string "ENABLED"))
           (property RESETMODE (string "ASYNC"))
           (property REGMODE_B (string "NOREG"))
           (property REGMODE_A (string "NOREG"))
           (property DATA_WIDTH_B (integer 4))
           (property DATA_WIDTH_A (integer 4))
          )
          (instance GND (viewRef PRIM (cellRef VLO (libraryRef LUCENT)))          )
          (net (rename cpu0_data_in_0 "cpu0_data_in[0]") (joined
           (portRef DOA0 (instanceRef bios2k_0_0_1))
           (portRef (member cpu0_data_in 7))
          ))
          (net (rename cpu0_data_in_1 "cpu0_data_in[1]") (joined
           (portRef DOA1 (instanceRef bios2k_0_0_1))
           (portRef (member cpu0_data_in 6))
          ))
          (net (rename cpu0_data_in_2 "cpu0_data_in[2]") (joined
           (portRef DOA2 (instanceRef bios2k_0_0_1))
           (portRef (member cpu0_data_in 5))
          ))
          (net (rename cpu0_data_in_3 "cpu0_data_in[3]") (joined
           (portRef DOA3 (instanceRef bios2k_0_0_1))
           (portRef (member cpu0_data_in 4))
          ))
          (net bios2k_0_0_1_DOA4 (joined
           (portRef DOA4 (instanceRef bios2k_0_0_1))
          ))
          (net bios2k_0_0_1_DOA5 (joined
           (portRef DOA5 (instanceRef bios2k_0_0_1))
          ))
          (net bios2k_0_0_1_DOA6 (joined
           (portRef DOA6 (instanceRef bios2k_0_0_1))
          ))
          (net bios2k_0_0_1_DOA7 (joined
           (portRef DOA7 (instanceRef bios2k_0_0_1))
          ))
          (net bios2k_0_0_1_DOA8 (joined
           (portRef DOA8 (instanceRef bios2k_0_0_1))
          ))
          (net bios2k_0_0_1_DOB0 (joined
           (portRef DOB0 (instanceRef bios2k_0_0_1))
          ))
          (net bios2k_0_0_1_DOB1 (joined
           (portRef DOB1 (instanceRef bios2k_0_0_1))
          ))
          (net bios2k_0_0_1_DOB2 (joined
           (portRef DOB2 (instanceRef bios2k_0_0_1))
          ))
          (net bios2k_0_0_1_DOB3 (joined
           (portRef DOB3 (instanceRef bios2k_0_0_1))
          ))
          (net bios2k_0_0_1_DOB4 (joined
           (portRef DOB4 (instanceRef bios2k_0_0_1))
          ))
          (net bios2k_0_0_1_DOB5 (joined
           (portRef DOB5 (instanceRef bios2k_0_0_1))
          ))
          (net bios2k_0_0_1_DOB6 (joined
           (portRef DOB6 (instanceRef bios2k_0_0_1))
          ))
          (net bios2k_0_0_1_DOB7 (joined
           (portRef DOB7 (instanceRef bios2k_0_0_1))
          ))
          (net bios2k_0_0_1_DOB8 (joined
           (portRef DOB8 (instanceRef bios2k_0_0_1))
          ))
          (net (rename cpu0_data_out_0 "cpu0_data_out[0]") (joined
           (portRef (member cpu0_data_out 7))
           (portRef DIA0 (instanceRef bios2k_0_0_1))
          ))
          (net (rename cpu0_data_out_1 "cpu0_data_out[1]") (joined
           (portRef (member cpu0_data_out 6))
           (portRef DIA1 (instanceRef bios2k_0_0_1))
          ))
          (net (rename cpu0_data_out_2 "cpu0_data_out[2]") (joined
           (portRef (member cpu0_data_out 5))
           (portRef DIA2 (instanceRef bios2k_0_0_1))
          ))
          (net (rename cpu0_data_out_3 "cpu0_data_out[3]") (joined
           (portRef (member cpu0_data_out 4))
           (portRef DIA3 (instanceRef bios2k_0_0_1))
          ))
          (net GND (joined
           (portRef Z (instanceRef GND))
           (portRef OCEB (instanceRef bios2k_0_1_0))
           (portRef CEB (instanceRef bios2k_0_1_0))
           (portRef CSB2 (instanceRef bios2k_0_1_0))
           (portRef CSB1 (instanceRef bios2k_0_1_0))
           (portRef CSB0 (instanceRef bios2k_0_1_0))
           (portRef CSA2 (instanceRef bios2k_0_1_0))
           (portRef CSA1 (instanceRef bios2k_0_1_0))
           (portRef CSA0 (instanceRef bios2k_0_1_0))
           (portRef RSTB (instanceRef bios2k_0_1_0))
           (portRef RSTA (instanceRef bios2k_0_1_0))
           (portRef WEB (instanceRef bios2k_0_1_0))
           (portRef ADB12 (instanceRef bios2k_0_1_0))
           (portRef ADB11 (instanceRef bios2k_0_1_0))
           (portRef ADB10 (instanceRef bios2k_0_1_0))
           (portRef ADB9 (instanceRef bios2k_0_1_0))
           (portRef ADB8 (instanceRef bios2k_0_1_0))
           (portRef ADB7 (instanceRef bios2k_0_1_0))
           (portRef ADB6 (instanceRef bios2k_0_1_0))
           (portRef ADB5 (instanceRef bios2k_0_1_0))
           (portRef ADB4 (instanceRef bios2k_0_1_0))
           (portRef ADB3 (instanceRef bios2k_0_1_0))
           (portRef ADB2 (instanceRef bios2k_0_1_0))
           (portRef ADB1 (instanceRef bios2k_0_1_0))
           (portRef ADB0 (instanceRef bios2k_0_1_0))
           (portRef ADA1 (instanceRef bios2k_0_1_0))
           (portRef ADA0 (instanceRef bios2k_0_1_0))
           (portRef DIB8 (instanceRef bios2k_0_1_0))
           (portRef DIB7 (instanceRef bios2k_0_1_0))
           (portRef DIB6 (instanceRef bios2k_0_1_0))
           (portRef DIB5 (instanceRef bios2k_0_1_0))
           (portRef DIB4 (instanceRef bios2k_0_1_0))
           (portRef DIB3 (instanceRef bios2k_0_1_0))
           (portRef DIB2 (instanceRef bios2k_0_1_0))
           (portRef DIB1 (instanceRef bios2k_0_1_0))
           (portRef DIB0 (instanceRef bios2k_0_1_0))
           (portRef DIA8 (instanceRef bios2k_0_1_0))
           (portRef DIA7 (instanceRef bios2k_0_1_0))
           (portRef DIA6 (instanceRef bios2k_0_1_0))
           (portRef DIA5 (instanceRef bios2k_0_1_0))
           (portRef DIA4 (instanceRef bios2k_0_1_0))
           (portRef OCEB (instanceRef bios2k_0_0_1))
           (portRef CEB (instanceRef bios2k_0_0_1))
           (portRef CSB2 (instanceRef bios2k_0_0_1))
           (portRef CSB1 (instanceRef bios2k_0_0_1))
           (portRef CSB0 (instanceRef bios2k_0_0_1))
           (portRef CSA2 (instanceRef bios2k_0_0_1))
           (portRef CSA1 (instanceRef bios2k_0_0_1))
           (portRef CSA0 (instanceRef bios2k_0_0_1))
           (portRef RSTB (instanceRef bios2k_0_0_1))
           (portRef RSTA (instanceRef bios2k_0_0_1))
           (portRef WEB (instanceRef bios2k_0_0_1))
           (portRef ADB12 (instanceRef bios2k_0_0_1))
           (portRef ADB11 (instanceRef bios2k_0_0_1))
           (portRef ADB10 (instanceRef bios2k_0_0_1))
           (portRef ADB9 (instanceRef bios2k_0_0_1))
           (portRef ADB8 (instanceRef bios2k_0_0_1))
           (portRef ADB7 (instanceRef bios2k_0_0_1))
           (portRef ADB6 (instanceRef bios2k_0_0_1))
           (portRef ADB5 (instanceRef bios2k_0_0_1))
           (portRef ADB4 (instanceRef bios2k_0_0_1))
           (portRef ADB3 (instanceRef bios2k_0_0_1))
           (portRef ADB2 (instanceRef bios2k_0_0_1))
           (portRef ADB1 (instanceRef bios2k_0_0_1))
           (portRef ADB0 (instanceRef bios2k_0_0_1))
           (portRef ADA1 (instanceRef bios2k_0_0_1))
           (portRef ADA0 (instanceRef bios2k_0_0_1))
           (portRef DIB8 (instanceRef bios2k_0_0_1))
           (portRef DIB7 (instanceRef bios2k_0_0_1))
           (portRef DIB6 (instanceRef bios2k_0_0_1))
           (portRef DIB5 (instanceRef bios2k_0_0_1))
           (portRef DIB4 (instanceRef bios2k_0_0_1))
           (portRef DIB3 (instanceRef bios2k_0_0_1))
           (portRef DIB2 (instanceRef bios2k_0_0_1))
           (portRef DIB1 (instanceRef bios2k_0_0_1))
           (portRef DIB0 (instanceRef bios2k_0_0_1))
           (portRef DIA8 (instanceRef bios2k_0_0_1))
           (portRef DIA7 (instanceRef bios2k_0_0_1))
           (portRef DIA6 (instanceRef bios2k_0_0_1))
           (portRef DIA5 (instanceRef bios2k_0_0_1))
           (portRef DIA4 (instanceRef bios2k_0_0_1))
          ))
          (net clk40_i_c (joined
           (portRef clk40_i_c)
           (portRef CLKB (instanceRef bios2k_0_1_0))
           (portRef CLKA (instanceRef bios2k_0_1_0))
           (portRef CLKB (instanceRef bios2k_0_0_1))
           (portRef CLKA (instanceRef bios2k_0_0_1))
          ))
          (net un1_cen_o_0 (joined
           (portRef un1_cen_o_0)
           (portRef OCEA (instanceRef bios2k_0_1_0))
           (portRef CEA (instanceRef bios2k_0_1_0))
           (portRef OCEA (instanceRef bios2k_0_0_1))
           (portRef CEA (instanceRef bios2k_0_0_1))
          ))
          (net (rename cpu0_data_in_4 "cpu0_data_in[4]") (joined
           (portRef DOA0 (instanceRef bios2k_0_1_0))
           (portRef (member cpu0_data_in 3))
          ))
          (net (rename cpu0_data_in_5 "cpu0_data_in[5]") (joined
           (portRef DOA1 (instanceRef bios2k_0_1_0))
           (portRef (member cpu0_data_in 2))
          ))
          (net (rename cpu0_data_in_6 "cpu0_data_in[6]") (joined
           (portRef DOA2 (instanceRef bios2k_0_1_0))
           (portRef (member cpu0_data_in 1))
          ))
          (net (rename cpu0_data_in_7 "cpu0_data_in[7]") (joined
           (portRef DOA3 (instanceRef bios2k_0_1_0))
           (portRef (member cpu0_data_in 0))
          ))
          (net bios2k_0_1_0_DOA4 (joined
           (portRef DOA4 (instanceRef bios2k_0_1_0))
          ))
          (net bios2k_0_1_0_DOA5 (joined
           (portRef DOA5 (instanceRef bios2k_0_1_0))
          ))
          (net bios2k_0_1_0_DOA6 (joined
           (portRef DOA6 (instanceRef bios2k_0_1_0))
          ))
          (net bios2k_0_1_0_DOA7 (joined
           (portRef DOA7 (instanceRef bios2k_0_1_0))
          ))
          (net bios2k_0_1_0_DOA8 (joined
           (portRef DOA8 (instanceRef bios2k_0_1_0))
          ))
          (net bios2k_0_1_0_DOB0 (joined
           (portRef DOB0 (instanceRef bios2k_0_1_0))
          ))
          (net bios2k_0_1_0_DOB1 (joined
           (portRef DOB1 (instanceRef bios2k_0_1_0))
          ))
          (net bios2k_0_1_0_DOB2 (joined
           (portRef DOB2 (instanceRef bios2k_0_1_0))
          ))
          (net bios2k_0_1_0_DOB3 (joined
           (portRef DOB3 (instanceRef bios2k_0_1_0))
          ))
          (net bios2k_0_1_0_DOB4 (joined
           (portRef DOB4 (instanceRef bios2k_0_1_0))
          ))
          (net bios2k_0_1_0_DOB5 (joined
           (portRef DOB5 (instanceRef bios2k_0_1_0))
          ))
          (net bios2k_0_1_0_DOB6 (joined
           (portRef DOB6 (instanceRef bios2k_0_1_0))
          ))
          (net bios2k_0_1_0_DOB7 (joined
           (portRef DOB7 (instanceRef bios2k_0_1_0))
          ))
          (net bios2k_0_1_0_DOB8 (joined
           (portRef DOB8 (instanceRef bios2k_0_1_0))
          ))
          (net (rename cpu0_data_out_4 "cpu0_data_out[4]") (joined
           (portRef (member cpu0_data_out 3))
           (portRef DIA0 (instanceRef bios2k_0_1_0))
          ))
          (net (rename cpu0_data_out_5 "cpu0_data_out[5]") (joined
           (portRef (member cpu0_data_out 2))
           (portRef DIA1 (instanceRef bios2k_0_1_0))
          ))
          (net (rename cpu0_data_out_6 "cpu0_data_out[6]") (joined
           (portRef (member cpu0_data_out 1))
           (portRef DIA2 (instanceRef bios2k_0_1_0))
          ))
          (net (rename cpu0_data_out_7 "cpu0_data_out[7]") (joined
           (portRef (member cpu0_data_out 0))
           (portRef DIA3 (instanceRef bios2k_0_1_0))
          ))
          (net (rename addr_o_c_0 "addr_o_c[0]") (joined
           (portRef (member addr_o_c 10))
           (portRef ADA2 (instanceRef bios2k_0_1_0))
           (portRef ADA2 (instanceRef bios2k_0_0_1))
          ))
          (net (rename addr_o_c_1 "addr_o_c[1]") (joined
           (portRef (member addr_o_c 9))
           (portRef ADA3 (instanceRef bios2k_0_1_0))
           (portRef ADA3 (instanceRef bios2k_0_0_1))
          ))
          (net (rename addr_o_c_2 "addr_o_c[2]") (joined
           (portRef (member addr_o_c 8))
           (portRef ADA4 (instanceRef bios2k_0_1_0))
           (portRef ADA4 (instanceRef bios2k_0_0_1))
          ))
          (net (rename addr_o_c_3 "addr_o_c[3]") (joined
           (portRef (member addr_o_c 7))
           (portRef ADA5 (instanceRef bios2k_0_1_0))
           (portRef ADA5 (instanceRef bios2k_0_0_1))
          ))
          (net (rename addr_o_c_4 "addr_o_c[4]") (joined
           (portRef (member addr_o_c 6))
           (portRef ADA6 (instanceRef bios2k_0_1_0))
           (portRef ADA6 (instanceRef bios2k_0_0_1))
          ))
          (net (rename addr_o_c_5 "addr_o_c[5]") (joined
           (portRef (member addr_o_c 5))
           (portRef ADA7 (instanceRef bios2k_0_1_0))
           (portRef ADA7 (instanceRef bios2k_0_0_1))
          ))
          (net (rename addr_o_c_6 "addr_o_c[6]") (joined
           (portRef (member addr_o_c 4))
           (portRef ADA8 (instanceRef bios2k_0_1_0))
           (portRef ADA8 (instanceRef bios2k_0_0_1))
          ))
          (net (rename addr_o_c_7 "addr_o_c[7]") (joined
           (portRef (member addr_o_c 3))
           (portRef ADA9 (instanceRef bios2k_0_1_0))
           (portRef ADA9 (instanceRef bios2k_0_0_1))
          ))
          (net (rename addr_o_c_8 "addr_o_c[8]") (joined
           (portRef (member addr_o_c 2))
           (portRef ADA10 (instanceRef bios2k_0_1_0))
           (portRef ADA10 (instanceRef bios2k_0_0_1))
          ))
          (net (rename addr_o_c_9 "addr_o_c[9]") (joined
           (portRef (member addr_o_c 1))
           (portRef ADA11 (instanceRef bios2k_0_1_0))
           (portRef ADA11 (instanceRef bios2k_0_0_1))
          ))
          (net (rename addr_o_c_10 "addr_o_c[10]") (joined
           (portRef (member addr_o_c 0))
           (portRef ADA12 (instanceRef bios2k_0_1_0))
           (portRef ADA12 (instanceRef bios2k_0_0_1))
          ))
          (net cpu0_we (joined
           (portRef cpu0_we)
           (portRef WEA (instanceRef bios2k_0_1_0))
           (portRef WEA (instanceRef bios2k_0_0_1))
          ))
         )
        (property NGD_DRC_MASK (integer 1))
        (property orig_inst_of (string "bios2k"))
       )
    )
    (cell MC6809_cpu (cellType GENERIC)
       (view netlist (viewType NETLIST)
         (interface
           (port (array (rename reset_cnt "reset_cnt[3:0]") 4) (direction INPUT))
           (port (array (rename reset_cnt_1 "reset_cnt_1[3:1]") 3) (direction OUTPUT))
           (port (array (rename data_io_c "data_io_c[7:0]") 8) (direction OUTPUT))
           (port (array (rename leds_r_cnv "leds_r_cnv[0:0]") 1) (direction OUTPUT))
           (port (array (rename addr_o_c "addr_o_c[15:0]") 16) (direction OUTPUT))
           (port (array (rename cpu0_data_out "cpu0_data_out[7:0]") 8) (direction OUTPUT))
           (port (array (rename cpu0_data_in "cpu0_data_in[7:0]") 8) (direction INPUT))
           (port (array (rename state_o_c "state_o_c[5:0]") 6) (direction OUTPUT))
           (port N_21_i (direction OUTPUT))
           (port un1_cen_o_i (direction OUTPUT))
           (port cpu_clk_i (direction INPUT))
           (port un1_cen_o_0 (direction OUTPUT))
           (port cpu_clk (direction INPUT))
           (port cpu_reset_i_4_i (direction OUTPUT))
           (port cpu_clkgen (direction INPUT))
           (port cpu0_oe_i (direction OUTPUT))
           (port cpu0_we_i (direction OUTPUT))
           (port cpu0_we (direction OUTPUT))
         )
         (contents
          (instance k_cpu_we_RNIJN87 (viewRef PRIM (cellRef INV (libraryRef LUCENT)))          )
          (instance k_cpu_oe_RNIBF87 (viewRef PRIM (cellRef INV (libraryRef LUCENT)))          )
          (instance (rename state_0 "state[0]") (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT)))
          )
          (instance (rename state_1 "state[1]") (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT)))
          )
          (instance (rename state_2 "state[2]") (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT)))
          )
          (instance (rename state_3 "state[3]") (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT)))
          )
          (instance (rename state_4 "state[4]") (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT)))
          )
          (instance (rename state_5 "state[5]") (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT)))
          )
          (instance (rename next_state_0 "next_state[0]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename next_state_1 "next_state[1]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename next_state_2 "next_state[2]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename next_state_3 "next_state[3]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename next_state_4 "next_state[4]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename next_state_5 "next_state[5]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename next_push_state_0 "next_push_state[0]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename next_push_state_1 "next_push_state[1]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename next_mem_state_0 "next_mem_state[0]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename next_mem_state_3 "next_mem_state[3]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename next_mem_state_4 "next_mem_state[4]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename next_mem_state_5 "next_mem_state[5]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_write_post_incdec (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_write_pc (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_pull_reg_write (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_pp_regs_0 "k_pp_regs[0]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_pp_regs_1 "k_pp_regs[1]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_pp_regs_2 "k_pp_regs[2]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_pp_regs_3 "k_pp_regs[3]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_pp_regs_4 "k_pp_regs[4]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_pp_regs_5 "k_pp_regs[5]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_pp_regs_6 "k_pp_regs[6]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_pp_regs_7 "k_pp_regs[7]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_pp_active_reg_0 "k_pp_active_reg[0]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_pp_active_reg_fast_0 "k_pp_active_reg_fast[0]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_pp_active_reg_fast_1 "k_pp_active_reg_fast[1]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_pp_active_reg_1 "k_pp_active_reg[1]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_pp_active_reg_fast_2 "k_pp_active_reg_fast[2]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_pp_active_reg_2 "k_pp_active_reg[2]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_pp_active_reg_3 "k_pp_active_reg[3]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_pp_active_reg_fast_3 "k_pp_active_reg_fast[3]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_pp_active_reg_4 "k_pp_active_reg[4]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_pp_active_reg_fast_4 "k_pp_active_reg_fast[4]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_pp_active_reg_5 "k_pp_active_reg[5]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_pp_active_reg_fast_5 "k_pp_active_reg_fast[5]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_pp_active_reg_6 "k_pp_active_reg[6]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_pp_active_reg_fast_6 "k_pp_active_reg_fast[6]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_pp_active_reg_7 "k_pp_active_reg[7]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_pp_active_reg_fast_7 "k_pp_active_reg_fast[7]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_postbyte0_fast_0 "k_postbyte0_fast[0]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_postbyte0_0 "k_postbyte0[0]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_postbyte0_1 "k_postbyte0[1]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_postbyte0_2 "k_postbyte0[2]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_postbyte0_fast_2 "k_postbyte0_fast[2]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_postbyte0_3 "k_postbyte0[3]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_postbyte0_fast_3 "k_postbyte0_fast[3]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_postbyte0_4 "k_postbyte0[4]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_postbyte0_5 "k_postbyte0[5]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_postbyte0_6 "k_postbyte0[6]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_postbyte0_fast_6 "k_postbyte0_fast[6]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_postbyte0_fast_7 "k_postbyte0_fast[7]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_postbyte0_7 "k_postbyte0[7]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_p3_valid_fast (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_p3_valid (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_p2_valid_fast (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_p2_valid (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_opcode_fast_0 "k_opcode_fast[0]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_opcode_fast_1 "k_opcode_fast[1]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_opcode_6_rep1 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_opcode_2_rep2 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_opcode_1 "k_opcode[1]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_opcode_fast_2 "k_opcode_fast[2]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_opcode_fast_3 "k_opcode_fast[3]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_opcode_fast_4 "k_opcode_fast[4]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_opcode_fast_6 "k_opcode_fast[6]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_opcode_fast_7 "k_opcode_fast[7]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_opcode_7_rep1 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_opcode_5_rep1 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_opcode_4_rep1 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_opcode_3_rep2 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_opcode_3_rep1 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_opcode_2_rep1 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_opcode_1_rep1 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_opcode_0_rep1 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_opcode_0 "k_opcode[0]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_opcode_2 "k_opcode[2]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_opcode_3 "k_opcode[3]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_opcode_4 "k_opcode[4]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_opcode_5 "k_opcode[5]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_opcode_fast_5 "k_opcode_fast[5]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_opcode_6 "k_opcode[6]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_opcode_7 "k_opcode[7]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_ofslo_0 "k_ofslo[0]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_ofslo_1 "k_ofslo[1]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_ofslo_2 "k_ofslo[2]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_ofslo_3 "k_ofslo[3]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_ofslo_4 "k_ofslo[4]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_ofslo_5 "k_ofslo[5]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_ofslo_6 "k_ofslo[6]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_ofslo_7 "k_ofslo[7]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_ofshi_0 "k_ofshi[0]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_ofshi_1 "k_ofshi[1]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_ofshi_2 "k_ofshi[2]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_ofshi_3 "k_ofshi[3]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_ofshi_4 "k_ofshi[4]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_ofshi_5 "k_ofshi[5]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_ofshi_6 "k_ofshi[6]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_ofshi_7 "k_ofshi[7]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_9 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_8 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_7 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_6 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_5 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_4 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_3 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_24 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_23 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_22 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_21 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_20 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_2 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_19 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_18 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_17 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_16 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_15 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_14 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_13 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_12 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_11 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_10 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_new_pc_pipe_1 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_memlo_0 "k_memlo[0]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_memlo_1 "k_memlo[1]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_memlo_2 "k_memlo[2]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_memlo_3 "k_memlo[3]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_memlo_4 "k_memlo[4]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_memlo_5 "k_memlo[5]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_memlo_6 "k_memlo[6]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_memlo_7 "k_memlo[7]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_memhi_0 "k_memhi[0]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_memhi_1 "k_memhi[1]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_memhi_2 "k_memhi[2]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_memhi_3 "k_memhi[3]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_memhi_4 "k_memhi[4]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_memhi_5 "k_memhi[5]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_memhi_6 "k_memhi[6]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_memhi_7 "k_memhi[7]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_ind_ea_0 "k_ind_ea[0]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_ind_ea_1 "k_ind_ea[1]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_ind_ea_2 "k_ind_ea[2]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_ind_ea_3 "k_ind_ea[3]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_ind_ea_4 "k_ind_ea[4]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_ind_ea_5 "k_ind_ea[5]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_ind_ea_6 "k_ind_ea[6]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_ind_ea_7 "k_ind_ea[7]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_inc_su (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_inc_pc (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_ealo_0 "k_ealo[0]") (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
          )
          (instance (rename k_ealo_1 "k_ealo[1]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_ealo_2 "k_ealo[2]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_ealo_3 "k_ealo[3]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_ealo_4 "k_ealo[4]") (viewRef PRIM (cellRef FD1P3JX (libraryRef LUCENT)))
          )
          (instance (rename k_ealo_5 "k_ealo[5]") (viewRef PRIM (cellRef FD1P3JX (libraryRef LUCENT)))
          )
          (instance (rename k_ealo_6 "k_ealo[6]") (viewRef PRIM (cellRef FD1P3JX (libraryRef LUCENT)))
          )
          (instance (rename k_ealo_7 "k_ealo[7]") (viewRef PRIM (cellRef FD1P3JX (libraryRef LUCENT)))
          )
          (instance (rename k_eahi_0 "k_eahi[0]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_eahi_1 "k_eahi[1]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_eahi_2 "k_eahi[2]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_eahi_3 "k_eahi[3]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_eahi_4 "k_eahi[4]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_eahi_5 "k_eahi[5]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_eahi_6 "k_eahi[6]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_eahi_7 "k_eahi[7]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_dec_su (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_cpu_we (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance k_cpu_oe (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_cpu_data_o_0 "k_cpu_data_o[0]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_cpu_data_o_1 "k_cpu_data_o[1]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_cpu_data_o_2 "k_cpu_data_o[2]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_cpu_data_o_3 "k_cpu_data_o[3]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_cpu_data_o_4 "k_cpu_data_o[4]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_cpu_data_o_5 "k_cpu_data_o[5]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_cpu_data_o_6 "k_cpu_data_o[6]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_cpu_data_o_7 "k_cpu_data_o[7]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_cpu_addr_0 "k_cpu_addr[0]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_cpu_addr_1 "k_cpu_addr[1]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_cpu_addr_2 "k_cpu_addr[2]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_cpu_addr_3 "k_cpu_addr[3]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_cpu_addr_4 "k_cpu_addr[4]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_cpu_addr_5 "k_cpu_addr[5]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_cpu_addr_6 "k_cpu_addr[6]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_cpu_addr_7 "k_cpu_addr[7]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_cpu_addr_8 "k_cpu_addr[8]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_cpu_addr_9 "k_cpu_addr[9]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_cpu_addr_10 "k_cpu_addr[10]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_cpu_addr_11 "k_cpu_addr[11]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_cpu_addr_12 "k_cpu_addr[12]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_cpu_addr_13 "k_cpu_addr[13]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_cpu_addr_14 "k_cpu_addr[14]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_cpu_addr_15 "k_cpu_addr[15]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename k_cpu_addr_lm_0_10 "k_cpu_addr_lm_0[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B !A)+C (B+A))+D (B+A))"))
          )
          (instance (rename k_cpu_addr_lm_0_11 "k_cpu_addr_lm_0[11]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B !A)+C (B+A))+D (B+A))"))
          )
          (instance (rename k_cpu_addr_lm_0_12 "k_cpu_addr_lm_0[12]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A)+C (B+!A))+D (C+A))"))
          )
          (instance (rename k_cpu_addr_lm_0_13 "k_cpu_addr_lm_0[13]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B !A)+C (B+A))+D (B+A))"))
          )
          (instance (rename k_cpu_addr_lm_0_14 "k_cpu_addr_lm_0[14]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A)+C (B+!A))+D (C+A))"))
          )
          (instance (rename k_cpu_addr_lm_0_15 "k_cpu_addr_lm_0[15]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A)+C (B+!A))+D (C+A))"))
          )
          (instance (rename k_cpu_addr_lm_0_0 "k_cpu_addr_lm_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A)+C (!B+A))+D (C+B))"))
          )
          (instance (rename k_cpu_addr_lm_0_1 "k_cpu_addr_lm_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A)+C (B+!A))+D (C+A))"))
          )
          (instance (rename k_cpu_addr_lm_0_2 "k_cpu_addr_lm_0[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B !A)+C (B+A))+D (B+A))"))
          )
          (instance (rename k_cpu_addr_lm_0_3 "k_cpu_addr_lm_0[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A)+C (B+!A))+D (C+A))"))
          )
          (instance (rename k_cpu_addr_lm_0_4 "k_cpu_addr_lm_0[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A)+C (B+!A))+D (C+A))"))
          )
          (instance (rename k_cpu_addr_lm_0_5 "k_cpu_addr_lm_0[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B !A)+C (B+A))+D (B+A))"))
          )
          (instance (rename k_cpu_addr_lm_0_6 "k_cpu_addr_lm_0[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A)+C (B+!A))+D (C+A))"))
          )
          (instance (rename k_cpu_addr_lm_0_7 "k_cpu_addr_lm_0[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A)+C (B+!A))+D (C+A))"))
          )
          (instance (rename k_cpu_addr_lm_0_8 "k_cpu_addr_lm_0[8]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B A)+C (B+!A))+D (C+A))"))
          )
          (instance (rename k_cpu_addr_lm_0_9 "k_cpu_addr_lm_0[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D (!C (B !A)+C (B+A))+D (B+A))"))
          )
          (instance (rename state_RNI7S063_5 "state_RNI7S063[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!B A)"))
          )
          (instance un70_c4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D+(C+(B+A)))"))
          )
          (instance state182_c4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(D+(C+(B+A)))"))
          )
          (instance (rename state_RNIQV9B1_3 "state_RNIQV9B1[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D !A+D (!C (!B !A)+C !A))"))
          )
          (instance (rename state_RNIGEEH_0 "state_RNIGEEH[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(B !A)"))
          )
          (instance (rename state_RNI8MMJ1_2 "state_RNI8MMJ1[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
           (property lut_function (string "(!D !C+D (!C (!B !A)+C !A))"))
          )
          (instance un1_regs_o_pc_s_15_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x5006"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x300A"))
          )
          (instance un1_regs_o_pc_cry_13_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x5006"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x5006"))
          )
          (instance un1_regs_o_pc_cry_11_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x5006"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x5006"))
          )
          (instance un1_regs_o_pc_cry_9_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x5006"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x5006"))
          )
          (instance un1_regs_o_pc_cry_7_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x5006"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x5006"))
          )
          (instance un1_regs_o_pc_cry_5_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x5006"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x5006"))
          )
          (instance un1_regs_o_pc_cry_3_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x5006"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x5006"))
          )
          (instance un1_regs_o_pc_cry_1_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x5006"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x5006"))
          )
          (instance un1_regs_o_pc_cry_0_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x300A"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x5006"))
          )
          (instance (rename k_cpu_addr_s_0_15 "k_cpu_addr_s_0[15]") (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x5002"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0x300A"))
          )
          (instance (rename k_cpu_addr_cry_0_13 "k_cpu_addr_cry_0[13]") (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0xF002"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0xF002"))
          )
          (instance (rename k_cpu_addr_cry_0_11 "k_cpu_addr_cry_0[11]") (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0xF002"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0xF002"))
          )
          (instance (rename k_cpu_addr_cry_0_9 "k_cpu_addr_cry_0[9]") (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0xF002"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0xF002"))
          )
          (instance (rename k_cpu_addr_cry_0_7 "k_cpu_addr_cry_0[7]") (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0xF002"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0xF002"))
          )
          (instance (rename k_cpu_addr_cry_0_5 "k_cpu_addr_cry_0[5]") (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0xF002"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0xF002"))
          )
          (instance (rename k_cpu_addr_cry_0_3 "k_cpu_addr_cry_0[3]") (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0xF002"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0xF002"))
          )
          (instance (rename k_cpu_addr_cry_0_1 "k_cpu_addr_cry_0[1]") (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0xF002"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0xF002"))
          )
          (instance (rename k_cpu_addr_cry_0_0 "k_cpu_addr_cry_0[0]") (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
           (property INIT0 (string "0x300A"))
           (property INJECT1_1 (string "NO"))
           (property INJECT1_0 (string "NO"))
           (property INIT1 (string "0xF002"))
          )
          (instance alu (viewRef netlist (cellRef alu16))
          )
          (instance regs (viewRef netlist (cellRef regblock))
          )
          (instance dec_regs (viewRef netlist (cellRef decode_regs))
          )
          (instance dec_op (viewRef netlist (cellRef decode_op))
          )
          (instance dec_ea (viewRef netlist (cellRef decode_ea))
          )
          (instance dec_alu (viewRef netlist (cellRef decode_alu))
          )
          (instance test_cond (viewRef netlist (cellRef test_condition))
          )
          (instance VCC (viewRef PRIM (cellRef VHI (libraryRef LUCENT)))          )
          (instance GND (viewRef PRIM (cellRef VLO (libraryRef LUCENT)))          )
          (net cpu0_we_i (joined
           (portRef Z (instanceRef k_cpu_we_RNIJN87))
           (portRef cpu0_we_i)
          ))
          (net cpu0_oe (joined
           (portRef Q (instanceRef k_cpu_oe))
           (portRef cpu0_oe (instanceRef alu))
           (portRef A (instanceRef k_cpu_oe_RNIBF87))
          ))
          (net cpu0_oe_i (joined
           (portRef Z (instanceRef k_cpu_oe_RNIBF87))
           (portRef cpu0_oe_i)
          ))
          (net (rename state_23_0 "state_23[0]") (joined
           (portRef state_23_0 (instanceRef regs))
           (portRef D (instanceRef state_0))
          ))
          (net state84_1_RNIGJEL7 (joined
           (portRef state84_1_RNIGJEL7 (instanceRef dec_regs))
           (portRef SP (instanceRef state_5))
           (portRef SP (instanceRef state_4))
           (portRef SP (instanceRef state_3))
           (portRef SP (instanceRef state_2))
           (portRef SP (instanceRef state_1))
           (portRef SP (instanceRef state_0))
          ))
          (net (rename state_23_1 "state_23[1]") (joined
           (portRef state_23_1 (instanceRef regs))
           (portRef D (instanceRef state_1))
          ))
          (net cpu_clkgen (joined
           (portRef cpu_clkgen)
           (portRef cpu_clkgen (instanceRef regs))
           (portRef cpu_clkgen (instanceRef alu))
           (portRef CK (instanceRef k_cpu_addr_15))
           (portRef CK (instanceRef k_cpu_addr_14))
           (portRef CK (instanceRef k_cpu_addr_13))
           (portRef CK (instanceRef k_cpu_addr_12))
           (portRef CK (instanceRef k_cpu_addr_11))
           (portRef CK (instanceRef k_cpu_addr_10))
           (portRef CK (instanceRef k_cpu_addr_9))
           (portRef CK (instanceRef k_cpu_addr_8))
           (portRef CK (instanceRef k_cpu_addr_7))
           (portRef CK (instanceRef k_cpu_addr_6))
           (portRef CK (instanceRef k_cpu_addr_5))
           (portRef CK (instanceRef k_cpu_addr_4))
           (portRef CK (instanceRef k_cpu_addr_3))
           (portRef CK (instanceRef k_cpu_addr_2))
           (portRef CK (instanceRef k_cpu_addr_1))
           (portRef CK (instanceRef k_cpu_addr_0))
           (portRef CK (instanceRef k_cpu_data_o_7))
           (portRef CK (instanceRef k_cpu_data_o_6))
           (portRef CK (instanceRef k_cpu_data_o_5))
           (portRef CK (instanceRef k_cpu_data_o_4))
           (portRef CK (instanceRef k_cpu_data_o_3))
           (portRef CK (instanceRef k_cpu_data_o_2))
           (portRef CK (instanceRef k_cpu_data_o_1))
           (portRef CK (instanceRef k_cpu_data_o_0))
           (portRef CK (instanceRef k_cpu_oe))
           (portRef CK (instanceRef k_cpu_we))
           (portRef CK (instanceRef k_dec_su))
           (portRef CK (instanceRef k_eahi_7))
           (portRef CK (instanceRef k_eahi_6))
           (portRef CK (instanceRef k_eahi_5))
           (portRef CK (instanceRef k_eahi_4))
           (portRef CK (instanceRef k_eahi_3))
           (portRef CK (instanceRef k_eahi_2))
           (portRef CK (instanceRef k_eahi_1))
           (portRef CK (instanceRef k_eahi_0))
           (portRef CK (instanceRef k_ealo_7))
           (portRef CK (instanceRef k_ealo_6))
           (portRef CK (instanceRef k_ealo_5))
           (portRef CK (instanceRef k_ealo_4))
           (portRef CK (instanceRef k_ealo_3))
           (portRef CK (instanceRef k_ealo_2))
           (portRef CK (instanceRef k_ealo_1))
           (portRef CK (instanceRef k_ealo_0))
           (portRef CK (instanceRef k_inc_pc))
           (portRef CK (instanceRef k_inc_su))
           (portRef CK (instanceRef k_ind_ea_7))
           (portRef CK (instanceRef k_ind_ea_6))
           (portRef CK (instanceRef k_ind_ea_5))
           (portRef CK (instanceRef k_ind_ea_4))
           (portRef CK (instanceRef k_ind_ea_3))
           (portRef CK (instanceRef k_ind_ea_2))
           (portRef CK (instanceRef k_ind_ea_1))
           (portRef CK (instanceRef k_ind_ea_0))
           (portRef CK (instanceRef k_memhi_7))
           (portRef CK (instanceRef k_memhi_6))
           (portRef CK (instanceRef k_memhi_5))
           (portRef CK (instanceRef k_memhi_4))
           (portRef CK (instanceRef k_memhi_3))
           (portRef CK (instanceRef k_memhi_2))
           (portRef CK (instanceRef k_memhi_1))
           (portRef CK (instanceRef k_memhi_0))
           (portRef CK (instanceRef k_memlo_7))
           (portRef CK (instanceRef k_memlo_6))
           (portRef CK (instanceRef k_memlo_5))
           (portRef CK (instanceRef k_memlo_4))
           (portRef CK (instanceRef k_memlo_3))
           (portRef CK (instanceRef k_memlo_2))
           (portRef CK (instanceRef k_memlo_1))
           (portRef CK (instanceRef k_memlo_0))
           (portRef CK (instanceRef k_new_pc_pipe_1))
           (portRef CK (instanceRef k_new_pc_pipe_10))
           (portRef CK (instanceRef k_new_pc_pipe_11))
           (portRef CK (instanceRef k_new_pc_pipe_12))
           (portRef CK (instanceRef k_new_pc_pipe_13))
           (portRef CK (instanceRef k_new_pc_pipe_14))
           (portRef CK (instanceRef k_new_pc_pipe_15))
           (portRef CK (instanceRef k_new_pc_pipe_16))
           (portRef CK (instanceRef k_new_pc_pipe_17))
           (portRef CK (instanceRef k_new_pc_pipe_18))
           (portRef CK (instanceRef k_new_pc_pipe_19))
           (portRef CK (instanceRef k_new_pc_pipe_2))
           (portRef CK (instanceRef k_new_pc_pipe_20))
           (portRef CK (instanceRef k_new_pc_pipe_21))
           (portRef CK (instanceRef k_new_pc_pipe_22))
           (portRef CK (instanceRef k_new_pc_pipe_23))
           (portRef CK (instanceRef k_new_pc_pipe_24))
           (portRef CK (instanceRef k_new_pc_pipe_3))
           (portRef CK (instanceRef k_new_pc_pipe_4))
           (portRef CK (instanceRef k_new_pc_pipe_5))
           (portRef CK (instanceRef k_new_pc_pipe_6))
           (portRef CK (instanceRef k_new_pc_pipe_7))
           (portRef CK (instanceRef k_new_pc_pipe_8))
           (portRef CK (instanceRef k_new_pc_pipe_9))
           (portRef CK (instanceRef k_ofshi_7))
           (portRef CK (instanceRef k_ofshi_6))
           (portRef CK (instanceRef k_ofshi_5))
           (portRef CK (instanceRef k_ofshi_4))
           (portRef CK (instanceRef k_ofshi_3))
           (portRef CK (instanceRef k_ofshi_2))
           (portRef CK (instanceRef k_ofshi_1))
           (portRef CK (instanceRef k_ofshi_0))
           (portRef CK (instanceRef k_ofslo_7))
           (portRef CK (instanceRef k_ofslo_6))
           (portRef CK (instanceRef k_ofslo_5))
           (portRef CK (instanceRef k_ofslo_4))
           (portRef CK (instanceRef k_ofslo_3))
           (portRef CK (instanceRef k_ofslo_2))
           (portRef CK (instanceRef k_ofslo_1))
           (portRef CK (instanceRef k_ofslo_0))
           (portRef CK (instanceRef k_opcode_7))
           (portRef CK (instanceRef k_opcode_6))
           (portRef CK (instanceRef k_opcode_fast_5))
           (portRef CK (instanceRef k_opcode_5))
           (portRef CK (instanceRef k_opcode_4))
           (portRef CK (instanceRef k_opcode_3))
           (portRef CK (instanceRef k_opcode_2))
           (portRef CK (instanceRef k_opcode_0))
           (portRef CK (instanceRef k_opcode_0_rep1))
           (portRef CK (instanceRef k_opcode_1_rep1))
           (portRef CK (instanceRef k_opcode_2_rep1))
           (portRef CK (instanceRef k_opcode_3_rep1))
           (portRef CK (instanceRef k_opcode_3_rep2))
           (portRef CK (instanceRef k_opcode_4_rep1))
           (portRef CK (instanceRef k_opcode_5_rep1))
           (portRef CK (instanceRef k_opcode_7_rep1))
           (portRef CK (instanceRef k_opcode_fast_7))
           (portRef CK (instanceRef k_opcode_fast_6))
           (portRef CK (instanceRef k_opcode_fast_4))
           (portRef CK (instanceRef k_opcode_fast_3))
           (portRef CK (instanceRef k_opcode_fast_2))
           (portRef CK (instanceRef k_opcode_1))
           (portRef CK (instanceRef k_opcode_2_rep2))
           (portRef CK (instanceRef k_opcode_6_rep1))
           (portRef CK (instanceRef k_opcode_fast_1))
           (portRef CK (instanceRef k_opcode_fast_0))
           (portRef CK (instanceRef k_p2_valid))
           (portRef CK (instanceRef k_p2_valid_fast))
           (portRef CK (instanceRef k_p3_valid))
           (portRef CK (instanceRef k_p3_valid_fast))
           (portRef CK (instanceRef k_postbyte0_7))
           (portRef CK (instanceRef k_postbyte0_fast_7))
           (portRef CK (instanceRef k_postbyte0_fast_6))
           (portRef CK (instanceRef k_postbyte0_6))
           (portRef CK (instanceRef k_postbyte0_5))
           (portRef CK (instanceRef k_postbyte0_4))
           (portRef CK (instanceRef k_postbyte0_fast_3))
           (portRef CK (instanceRef k_postbyte0_3))
           (portRef CK (instanceRef k_postbyte0_fast_2))
           (portRef CK (instanceRef k_postbyte0_2))
           (portRef CK (instanceRef k_postbyte0_1))
           (portRef CK (instanceRef k_postbyte0_0))
           (portRef CK (instanceRef k_postbyte0_fast_0))
           (portRef CK (instanceRef k_pp_active_reg_fast_7))
           (portRef CK (instanceRef k_pp_active_reg_7))
           (portRef CK (instanceRef k_pp_active_reg_fast_6))
           (portRef CK (instanceRef k_pp_active_reg_6))
           (portRef CK (instanceRef k_pp_active_reg_fast_5))
           (portRef CK (instanceRef k_pp_active_reg_5))
           (portRef CK (instanceRef k_pp_active_reg_fast_4))
           (portRef CK (instanceRef k_pp_active_reg_4))
           (portRef CK (instanceRef k_pp_active_reg_fast_3))
           (portRef CK (instanceRef k_pp_active_reg_3))
           (portRef CK (instanceRef k_pp_active_reg_2))
           (portRef CK (instanceRef k_pp_active_reg_fast_2))
           (portRef CK (instanceRef k_pp_active_reg_1))
           (portRef CK (instanceRef k_pp_active_reg_fast_1))
           (portRef CK (instanceRef k_pp_active_reg_fast_0))
           (portRef CK (instanceRef k_pp_active_reg_0))
           (portRef CK (instanceRef k_pp_regs_7))
           (portRef CK (instanceRef k_pp_regs_6))
           (portRef CK (instanceRef k_pp_regs_5))
           (portRef CK (instanceRef k_pp_regs_4))
           (portRef CK (instanceRef k_pp_regs_3))
           (portRef CK (instanceRef k_pp_regs_2))
           (portRef CK (instanceRef k_pp_regs_1))
           (portRef CK (instanceRef k_pp_regs_0))
           (portRef CK (instanceRef k_pull_reg_write))
           (portRef CK (instanceRef k_write_pc))
           (portRef CK (instanceRef k_write_post_incdec))
           (portRef CK (instanceRef next_mem_state_5))
           (portRef CK (instanceRef next_mem_state_4))
           (portRef CK (instanceRef next_mem_state_3))
           (portRef CK (instanceRef next_mem_state_0))
           (portRef CK (instanceRef next_push_state_1))
           (portRef CK (instanceRef next_push_state_0))
           (portRef CK (instanceRef next_state_5))
           (portRef CK (instanceRef next_state_4))
           (portRef CK (instanceRef next_state_3))
           (portRef CK (instanceRef next_state_2))
           (portRef CK (instanceRef next_state_1))
           (portRef CK (instanceRef next_state_0))
           (portRef CK (instanceRef state_5))
           (portRef CK (instanceRef state_4))
           (portRef CK (instanceRef state_3))
           (portRef CK (instanceRef state_2))
           (portRef CK (instanceRef state_1))
           (portRef CK (instanceRef state_0))
          ))
          (net cpu_reset_i_4_i (joined
           (portRef cpu_reset_i_4_i (instanceRef alu))
           (portRef CD (instanceRef state_5))
           (portRef CD (instanceRef state_4))
           (portRef CD (instanceRef state_3))
           (portRef CD (instanceRef state_2))
           (portRef CD (instanceRef state_1))
           (portRef CD (instanceRef state_0))
           (portRef cpu_reset_i_4_i)
          ))
          (net VCC (joined
           (portRef Z (instanceRef VCC))
           (portRef B0 (instanceRef k_cpu_addr_cry_0_0))
          ))
          (net N_1413 (joined
           (portRef N_1413 (instanceRef alu))
           (portRef D (instanceRef state_2))
          ))
          (net (rename state_23_3 "state_23[3]") (joined
           (portRef state_23_3 (instanceRef regs))
           (portRef D (instanceRef state_3))
          ))
          (net (rename state_23_0_iv_i_4 "state_23_0_iv_i[4]") (joined
           (portRef (member state_23_0_iv_i 0) (instanceRef regs))
           (portRef D (instanceRef state_4))
          ))
          (net (rename state_23_iv_i_5 "state_23_iv_i[5]") (joined
           (portRef (member state_23_iv_i 0) (instanceRef dec_regs))
           (portRef D (instanceRef state_5))
          ))
          (net (rename next_state_10_0 "next_state_10[0]") (joined
           (portRef next_state_10_0 (instanceRef alu))
           (portRef D (instanceRef next_state_0))
          ))
          (net state78_RNILVOM7 (joined
           (portRef state78_RNILVOM7 (instanceRef dec_regs))
           (portRef SP (instanceRef next_state_5))
           (portRef SP (instanceRef next_state_4))
           (portRef SP (instanceRef next_state_3))
           (portRef SP (instanceRef next_state_2))
           (portRef SP (instanceRef next_state_1))
           (portRef SP (instanceRef next_state_0))
          ))
          (net (rename next_state_0 "next_state[0]") (joined
           (portRef Q (instanceRef next_state_0))
           (portRef next_state_0 (instanceRef regs))
          ))
          (net N_1737 (joined
           (portRef N_1737 (instanceRef alu))
           (portRef D (instanceRef next_state_1))
          ))
          (net (rename next_state_1 "next_state[1]") (joined
           (portRef Q (instanceRef next_state_1))
           (portRef next_state_1 (instanceRef regs))
          ))
          (net N_1771_i (joined
           (portRef N_1771_i (instanceRef alu))
           (portRef D (instanceRef next_state_2))
          ))
          (net (rename next_state_2 "next_state[2]") (joined
           (portRef Q (instanceRef next_state_2))
           (portRef (member next_state 0) (instanceRef alu))
          ))
          (net N_1776 (joined
           (portRef N_1776 (instanceRef alu))
           (portRef D (instanceRef next_state_3))
          ))
          (net (rename next_state_3 "next_state[3]") (joined
           (portRef Q (instanceRef next_state_3))
           (portRef next_state_3 (instanceRef regs))
          ))
          (net (rename next_state_10_4 "next_state_10[4]") (joined
           (portRef next_state_10_4 (instanceRef alu))
           (portRef D (instanceRef next_state_4))
          ))
          (net (rename next_state_4 "next_state[4]") (joined
           (portRef Q (instanceRef next_state_4))
           (portRef next_state_4 (instanceRef regs))
          ))
          (net (rename next_state_10_5 "next_state_10[5]") (joined
           (portRef next_state_10_5 (instanceRef alu))
           (portRef D (instanceRef next_state_5))
          ))
          (net (rename next_state_5 "next_state[5]") (joined
           (portRef Q (instanceRef next_state_5))
           (portRef (member next_state 0) (instanceRef dec_regs))
          ))
          (net (rename state_o_c_3 "state_o_c[3]") (joined
           (portRef Q (instanceRef state_3))
           (portRef (member state_o_c 2) (instanceRef dec_regs))
           (portRef (member state_o_c 2) (instanceRef regs))
           (portRef (member state_o_c 2) (instanceRef alu))
           (portRef B (instanceRef state_RNIQV9B1_3))
           (portRef D (instanceRef next_push_state_0))
           (portRef (member state_o_c 2))
          ))
          (net un1_state_82_RNIUSTC3 (joined
           (portRef un1_state_82_RNIUSTC3 (instanceRef regs))
           (portRef SP (instanceRef next_push_state_1))
           (portRef SP (instanceRef next_push_state_0))
          ))
          (net (rename next_push_state_0 "next_push_state[0]") (joined
           (portRef Q (instanceRef next_push_state_0))
           (portRef (member next_push_state 1) (instanceRef regs))
          ))
          (net un1_state_33_i (joined
           (portRef un1_state_33_i (instanceRef regs))
           (portRef D (instanceRef next_push_state_1))
          ))
          (net (rename next_push_state_1 "next_push_state[1]") (joined
           (portRef Q (instanceRef next_push_state_1))
           (portRef (member next_push_state 0) (instanceRef regs))
           (portRef (member next_push_state 0) (instanceRef alu))
          ))
          (net N_1809_i (joined
           (portRef N_1809_i (instanceRef regs))
           (portRef D (instanceRef next_mem_state_0))
          ))
          (net next_mem_state_1_sqmuxa_RNINPLR4 (joined
           (portRef next_mem_state_1_sqmuxa_RNINPLR4 (instanceRef alu))
           (portRef SP (instanceRef next_mem_state_5))
           (portRef SP (instanceRef next_mem_state_4))
           (portRef SP (instanceRef next_mem_state_3))
           (portRef SP (instanceRef next_mem_state_0))
          ))
          (net (rename next_mem_state_0 "next_mem_state[0]") (joined
           (portRef Q (instanceRef next_mem_state_0))
           (portRef next_mem_state_0 (instanceRef regs))
          ))
          (net N_1470_i (joined
           (portRef N_1470_i (instanceRef alu))
           (portRef D (instanceRef next_mem_state_3))
          ))
          (net (rename next_mem_state_3 "next_mem_state[3]") (joined
           (portRef Q (instanceRef next_mem_state_3))
           (portRef next_mem_state_3 (instanceRef regs))
          ))
          (net next_mem_state_8s2_0_411_i_0 (joined
           (portRef next_mem_state_8s2_0_411_i_0 (instanceRef alu))
           (portRef D (instanceRef next_mem_state_4))
          ))
          (net (rename next_mem_state_4 "next_mem_state[4]") (joined
           (portRef Q (instanceRef next_mem_state_4))
           (portRef next_mem_state_4 (instanceRef regs))
          ))
          (net N_1498_i (joined
           (portRef N_1498_i (instanceRef regs))
           (portRef D (instanceRef next_mem_state_5))
          ))
          (net (rename next_mem_state_5 "next_mem_state[5]") (joined
           (portRef Q (instanceRef next_mem_state_5))
           (portRef next_mem_state_5 (instanceRef regs))
          ))
          (net k_write_post_incdec_6 (joined
           (portRef k_write_post_incdec_6 (instanceRef regs))
           (portRef D (instanceRef k_write_post_incdec))
          ))
          (net (rename k_ealo_cnv_0_0 "k_ealo_cnv_0[0]") (joined
           (portRef (member k_ealo_cnv_0 0) (instanceRef alu))
           (portRef (member k_ealo_cnv_0 0) (instanceRef dec_regs))
           (portRef (member k_ealo_cnv_0 0) (instanceRef regs))
           (portRef SP (instanceRef k_cpu_oe))
           (portRef SP (instanceRef k_cpu_we))
           (portRef SP (instanceRef k_dec_su))
           (portRef SP (instanceRef k_inc_pc))
           (portRef SP (instanceRef k_inc_su))
           (portRef SP (instanceRef k_pull_reg_write))
           (portRef SP (instanceRef k_write_pc))
           (portRef SP (instanceRef k_write_post_incdec))
          ))
          (net k_write_post_incdec (joined
           (portRef Q (instanceRef k_write_post_incdec))
           (portRef k_write_post_incdec (instanceRef regs))
          ))
          (net k_write_pc_0_sqmuxa (joined
           (portRef k_write_pc_0_sqmuxa (instanceRef dec_op))
           (portRef D (instanceRef k_write_pc))
          ))
          (net k_write_pc (joined
           (portRef Q (instanceRef k_write_pc))
           (portRef k_write_pc (instanceRef regs))
          ))
          (net k_pull_reg_write_0_sqmuxa (joined
           (portRef k_pull_reg_write_0_sqmuxa (instanceRef regs))
           (portRef D (instanceRef k_pull_reg_write))
          ))
          (net k_pull_reg_write (joined
           (portRef Q (instanceRef k_pull_reg_write))
           (portRef k_pull_reg_write (instanceRef regs))
          ))
          (net (rename k_pp_regs_27_0 "k_pp_regs_27[0]") (joined
           (portRef (member k_pp_regs_27 7) (instanceRef alu))
           (portRef D (instanceRef k_pp_regs_0))
          ))
          (net k_pp_regs_11_sqmuxa_RNIHU8Q6 (joined
           (portRef k_pp_regs_11_sqmuxa_RNIHU8Q6 (instanceRef dec_regs))
           (portRef SP (instanceRef k_pp_regs_7))
           (portRef SP (instanceRef k_pp_regs_6))
           (portRef SP (instanceRef k_pp_regs_5))
           (portRef SP (instanceRef k_pp_regs_4))
           (portRef SP (instanceRef k_pp_regs_3))
           (portRef SP (instanceRef k_pp_regs_2))
           (portRef SP (instanceRef k_pp_regs_1))
           (portRef SP (instanceRef k_pp_regs_0))
          ))
          (net (rename k_pp_regs_0 "k_pp_regs[0]") (joined
           (portRef Q (instanceRef k_pp_regs_0))
           (portRef (member k_pp_regs 7) (instanceRef regs))
           (portRef (member k_pp_regs 7) (instanceRef alu))
           (portRef A (instanceRef un70_c4))
          ))
          (net (rename k_pp_regs_27_1 "k_pp_regs_27[1]") (joined
           (portRef (member k_pp_regs_27 6) (instanceRef alu))
           (portRef D (instanceRef k_pp_regs_1))
          ))
          (net (rename k_pp_regs_1 "k_pp_regs[1]") (joined
           (portRef Q (instanceRef k_pp_regs_1))
           (portRef (member k_pp_regs 6) (instanceRef regs))
           (portRef (member k_pp_regs 6) (instanceRef alu))
           (portRef B (instanceRef un70_c4))
          ))
          (net (rename k_pp_regs_27_2 "k_pp_regs_27[2]") (joined
           (portRef (member k_pp_regs_27 5) (instanceRef alu))
           (portRef D (instanceRef k_pp_regs_2))
          ))
          (net (rename k_pp_regs_2 "k_pp_regs[2]") (joined
           (portRef Q (instanceRef k_pp_regs_2))
           (portRef (member k_pp_regs 5) (instanceRef regs))
           (portRef (member k_pp_regs 5) (instanceRef alu))
           (portRef C (instanceRef un70_c4))
          ))
          (net (rename k_pp_regs_27_3 "k_pp_regs_27[3]") (joined
           (portRef (member k_pp_regs_27 4) (instanceRef alu))
           (portRef D (instanceRef k_pp_regs_3))
          ))
          (net (rename k_pp_regs_3 "k_pp_regs[3]") (joined
           (portRef Q (instanceRef k_pp_regs_3))
           (portRef (member k_pp_regs 4) (instanceRef regs))
           (portRef (member k_pp_regs 4) (instanceRef alu))
           (portRef D (instanceRef un70_c4))
          ))
          (net (rename k_pp_regs_27_4 "k_pp_regs_27[4]") (joined
           (portRef (member k_pp_regs_27 3) (instanceRef alu))
           (portRef D (instanceRef k_pp_regs_4))
          ))
          (net (rename k_pp_regs_4 "k_pp_regs[4]") (joined
           (portRef Q (instanceRef k_pp_regs_4))
           (portRef (member k_pp_regs 3) (instanceRef regs))
           (portRef (member k_pp_regs 3) (instanceRef alu))
          ))
          (net (rename k_pp_regs_27_5 "k_pp_regs_27[5]") (joined
           (portRef (member k_pp_regs_27 2) (instanceRef alu))
           (portRef D (instanceRef k_pp_regs_5))
          ))
          (net (rename k_pp_regs_5 "k_pp_regs[5]") (joined
           (portRef Q (instanceRef k_pp_regs_5))
           (portRef (member k_pp_regs 2) (instanceRef regs))
           (portRef (member k_pp_regs 2) (instanceRef alu))
          ))
          (net (rename k_pp_regs_27_6 "k_pp_regs_27[6]") (joined
           (portRef (member k_pp_regs_27 1) (instanceRef alu))
           (portRef D (instanceRef k_pp_regs_6))
          ))
          (net (rename k_pp_regs_6 "k_pp_regs[6]") (joined
           (portRef Q (instanceRef k_pp_regs_6))
           (portRef (member k_pp_regs 1) (instanceRef regs))
           (portRef (member k_pp_regs 1) (instanceRef alu))
          ))
          (net (rename k_pp_regs_27_7 "k_pp_regs_27[7]") (joined
           (portRef (member k_pp_regs_27 0) (instanceRef alu))
           (portRef D (instanceRef k_pp_regs_7))
          ))
          (net (rename k_pp_regs_7 "k_pp_regs[7]") (joined
           (portRef Q (instanceRef k_pp_regs_7))
           (portRef (member k_pp_regs 0) (instanceRef regs))
           (portRef (member k_pp_regs 0) (instanceRef alu))
          ))
          (net N_1652 (joined
           (portRef N_1652 (instanceRef regs))
           (portRef D (instanceRef k_pp_active_reg_0))
          ))
          (net un1_state_75_RNID6VJ2 (joined
           (portRef un1_state_75_RNID6VJ2 (instanceRef alu))
           (portRef SP (instanceRef k_pp_active_reg_fast_7))
           (portRef SP (instanceRef k_pp_active_reg_7))
           (portRef SP (instanceRef k_pp_active_reg_fast_6))
           (portRef SP (instanceRef k_pp_active_reg_6))
           (portRef SP (instanceRef k_pp_active_reg_fast_5))
           (portRef SP (instanceRef k_pp_active_reg_5))
           (portRef SP (instanceRef k_pp_active_reg_fast_4))
           (portRef SP (instanceRef k_pp_active_reg_4))
           (portRef SP (instanceRef k_pp_active_reg_fast_3))
           (portRef SP (instanceRef k_pp_active_reg_3))
           (portRef SP (instanceRef k_pp_active_reg_2))
           (portRef SP (instanceRef k_pp_active_reg_fast_2))
           (portRef SP (instanceRef k_pp_active_reg_1))
           (portRef SP (instanceRef k_pp_active_reg_fast_1))
           (portRef SP (instanceRef k_pp_active_reg_fast_0))
           (portRef SP (instanceRef k_pp_active_reg_0))
          ))
          (net (rename k_pp_active_reg_0 "k_pp_active_reg[0]") (joined
           (portRef Q (instanceRef k_pp_active_reg_0))
           (portRef (member k_pp_active_reg 7) (instanceRef regs))
          ))
          (net N_1652_fast (joined
           (portRef N_1652_fast (instanceRef regs))
           (portRef D (instanceRef k_pp_active_reg_fast_0))
          ))
          (net (rename k_pp_active_reg_fast_0 "k_pp_active_reg_fast[0]") (joined
           (portRef Q (instanceRef k_pp_active_reg_fast_0))
           (portRef (member k_pp_active_reg_fast 7) (instanceRef regs))
          ))
          (net N_1670_fast (joined
           (portRef N_1670_fast (instanceRef regs))
           (portRef D (instanceRef k_pp_active_reg_fast_1))
          ))
          (net (rename k_pp_active_reg_fast_1 "k_pp_active_reg_fast[1]") (joined
           (portRef Q (instanceRef k_pp_active_reg_fast_1))
           (portRef (member k_pp_active_reg_fast 6) (instanceRef regs))
          ))
          (net N_1670 (joined
           (portRef N_1670 (instanceRef regs))
           (portRef D (instanceRef k_pp_active_reg_1))
          ))
          (net (rename k_pp_active_reg_1 "k_pp_active_reg[1]") (joined
           (portRef Q (instanceRef k_pp_active_reg_1))
           (portRef (member k_pp_active_reg 6) (instanceRef regs))
          ))
          (net N_1688_fast (joined
           (portRef N_1688_fast (instanceRef regs))
           (portRef D (instanceRef k_pp_active_reg_fast_2))
          ))
          (net (rename k_pp_active_reg_fast_2 "k_pp_active_reg_fast[2]") (joined
           (portRef Q (instanceRef k_pp_active_reg_fast_2))
           (portRef (member k_pp_active_reg_fast 5) (instanceRef regs))
          ))
          (net N_1688 (joined
           (portRef N_1688 (instanceRef regs))
           (portRef D (instanceRef k_pp_active_reg_2))
          ))
          (net (rename k_pp_active_reg_2 "k_pp_active_reg[2]") (joined
           (portRef Q (instanceRef k_pp_active_reg_2))
           (portRef (member k_pp_active_reg 5) (instanceRef regs))
          ))
          (net N_1706 (joined
           (portRef N_1706 (instanceRef regs))
           (portRef D (instanceRef k_pp_active_reg_3))
          ))
          (net (rename k_pp_active_reg_3 "k_pp_active_reg[3]") (joined
           (portRef Q (instanceRef k_pp_active_reg_3))
           (portRef (member k_pp_active_reg 4) (instanceRef regs))
          ))
          (net N_1706_fast (joined
           (portRef N_1706_fast (instanceRef regs))
           (portRef D (instanceRef k_pp_active_reg_fast_3))
          ))
          (net (rename k_pp_active_reg_fast_3 "k_pp_active_reg_fast[3]") (joined
           (portRef Q (instanceRef k_pp_active_reg_fast_3))
           (portRef (member k_pp_active_reg_fast 4) (instanceRef regs))
          ))
          (net un1_k_pp_regs_3_sqmuxa (joined
           (portRef un1_k_pp_regs_3_sqmuxa (instanceRef regs))
           (portRef un1_k_pp_regs_3_sqmuxa (instanceRef alu))
           (portRef D (instanceRef k_pp_active_reg_fast_4))
           (portRef D (instanceRef k_pp_active_reg_4))
          ))
          (net (rename k_pp_active_reg_4 "k_pp_active_reg[4]") (joined
           (portRef Q (instanceRef k_pp_active_reg_4))
           (portRef (member k_pp_active_reg 3) (instanceRef regs))
           (portRef A (instanceRef state182_c4))
          ))
          (net (rename k_pp_active_reg_fast_4 "k_pp_active_reg_fast[4]") (joined
           (portRef Q (instanceRef k_pp_active_reg_fast_4))
           (portRef (member k_pp_active_reg_fast 3) (instanceRef regs))
          ))
          (net un1_k_pp_regs_2_sqmuxa (joined
           (portRef un1_k_pp_regs_2_sqmuxa (instanceRef regs))
           (portRef un1_k_pp_regs_2_sqmuxa (instanceRef alu))
           (portRef D (instanceRef k_pp_active_reg_fast_5))
           (portRef D (instanceRef k_pp_active_reg_5))
          ))
          (net (rename k_pp_active_reg_5 "k_pp_active_reg[5]") (joined
           (portRef Q (instanceRef k_pp_active_reg_5))
           (portRef (member k_pp_active_reg 2) (instanceRef regs))
           (portRef B (instanceRef state182_c4))
          ))
          (net (rename k_pp_active_reg_fast_5 "k_pp_active_reg_fast[5]") (joined
           (portRef Q (instanceRef k_pp_active_reg_fast_5))
           (portRef (member k_pp_active_reg_fast 2) (instanceRef regs))
          ))
          (net un1_k_pp_regs_1_sqmuxa (joined
           (portRef un1_k_pp_regs_1_sqmuxa (instanceRef regs))
           (portRef un1_k_pp_regs_1_sqmuxa (instanceRef alu))
           (portRef D (instanceRef k_pp_active_reg_fast_6))
           (portRef D (instanceRef k_pp_active_reg_6))
          ))
          (net (rename k_pp_active_reg_6 "k_pp_active_reg[6]") (joined
           (portRef Q (instanceRef k_pp_active_reg_6))
           (portRef (member k_pp_active_reg 1) (instanceRef regs))
           (portRef C (instanceRef state182_c4))
          ))
          (net (rename k_pp_active_reg_fast_6 "k_pp_active_reg_fast[6]") (joined
           (portRef Q (instanceRef k_pp_active_reg_fast_6))
           (portRef (member k_pp_active_reg_fast 1) (instanceRef regs))
          ))
          (net N_1724 (joined
           (portRef N_1724 (instanceRef regs))
           (portRef D (instanceRef k_pp_active_reg_7))
          ))
          (net (rename k_pp_active_reg_7 "k_pp_active_reg[7]") (joined
           (portRef Q (instanceRef k_pp_active_reg_7))
           (portRef (member k_pp_active_reg 0) (instanceRef regs))
           (portRef D (instanceRef state182_c4))
          ))
          (net N_1724_fast (joined
           (portRef N_1724_fast (instanceRef regs))
           (portRef D (instanceRef k_pp_active_reg_fast_7))
          ))
          (net (rename k_pp_active_reg_fast_7 "k_pp_active_reg_fast[7]") (joined
           (portRef Q (instanceRef k_pp_active_reg_fast_7))
           (portRef (member k_pp_active_reg_fast 0) (instanceRef regs))
          ))
          (net un1_state_32_RNI40GE (joined
           (portRef un1_state_32_RNI40GE (instanceRef alu))
           (portRef SP (instanceRef k_postbyte0_7))
           (portRef SP (instanceRef k_postbyte0_fast_7))
           (portRef SP (instanceRef k_postbyte0_fast_6))
           (portRef SP (instanceRef k_postbyte0_6))
           (portRef SP (instanceRef k_postbyte0_5))
           (portRef SP (instanceRef k_postbyte0_4))
           (portRef SP (instanceRef k_postbyte0_fast_3))
           (portRef SP (instanceRef k_postbyte0_3))
           (portRef SP (instanceRef k_postbyte0_fast_2))
           (portRef SP (instanceRef k_postbyte0_2))
           (portRef SP (instanceRef k_postbyte0_1))
           (portRef SP (instanceRef k_postbyte0_0))
           (portRef SP (instanceRef k_postbyte0_fast_0))
          ))
          (net (rename k_postbyte0_fast_0 "k_postbyte0_fast[0]") (joined
           (portRef Q (instanceRef k_postbyte0_fast_0))
           (portRef k_postbyte0_fast_0 (instanceRef dec_alu))
           (portRef k_postbyte0_fast_0 (instanceRef dec_op))
           (portRef k_postbyte0_fast_0 (instanceRef dec_regs))
          ))
          (net (rename cpu0_data_in_0 "cpu0_data_in[0]") (joined
           (portRef (member cpu0_data_in 7))
           (portRef (member cpu0_data_in 7) (instanceRef regs))
           (portRef (member cpu0_data_in 7) (instanceRef alu))
           (portRef D (instanceRef k_ealo_0))
           (portRef D (instanceRef k_ind_ea_0))
           (portRef D (instanceRef k_memhi_0))
           (portRef D (instanceRef k_memlo_0))
           (portRef D (instanceRef k_ofshi_0))
           (portRef D (instanceRef k_ofslo_0))
           (portRef D (instanceRef k_opcode_0))
           (portRef D (instanceRef k_opcode_0_rep1))
           (portRef D (instanceRef k_opcode_fast_0))
           (portRef D (instanceRef k_postbyte0_0))
           (portRef D (instanceRef k_postbyte0_fast_0))
          ))
          (net (rename k_postbyte0_0 "k_postbyte0[0]") (joined
           (portRef Q (instanceRef k_postbyte0_0))
           (portRef (member k_postbyte0 7) (instanceRef test_cond))
           (portRef k_postbyte0_0 (instanceRef dec_alu))
           (portRef (member k_postbyte0 7) (instanceRef dec_op))
           (portRef (member k_postbyte0 7) (instanceRef dec_regs))
          ))
          (net (rename k_postbyte0_1 "k_postbyte0[1]") (joined
           (portRef Q (instanceRef k_postbyte0_1))
           (portRef (member k_postbyte0 6) (instanceRef test_cond))
           (portRef k_postbyte0_1 (instanceRef dec_alu))
           (portRef (member k_postbyte0 6) (instanceRef dec_op))
           (portRef (member k_postbyte0 6) (instanceRef dec_regs))
          ))
          (net (rename k_postbyte0_2 "k_postbyte0[2]") (joined
           (portRef Q (instanceRef k_postbyte0_2))
           (portRef (member k_postbyte0 5) (instanceRef test_cond))
           (portRef k_postbyte0_2 (instanceRef dec_alu))
           (portRef (member k_postbyte0 5) (instanceRef dec_op))
           (portRef (member k_postbyte0 5) (instanceRef dec_regs))
          ))
          (net (rename cpu0_data_in_2 "cpu0_data_in[2]") (joined
           (portRef (member cpu0_data_in 5))
           (portRef (member cpu0_data_in 5) (instanceRef regs))
           (portRef (member cpu0_data_in 5) (instanceRef alu))
           (portRef D (instanceRef k_ind_ea_2))
           (portRef D (instanceRef k_memhi_2))
           (portRef D (instanceRef k_memlo_2))
           (portRef D (instanceRef k_ofshi_2))
           (portRef D (instanceRef k_ofslo_2))
           (portRef D (instanceRef k_opcode_2))
           (portRef D (instanceRef k_opcode_2_rep1))
           (portRef D (instanceRef k_opcode_fast_2))
           (portRef D (instanceRef k_opcode_2_rep2))
           (portRef D (instanceRef k_postbyte0_fast_2))
           (portRef D (instanceRef k_postbyte0_2))
          ))
          (net (rename k_postbyte0_fast_2 "k_postbyte0_fast[2]") (joined
           (portRef Q (instanceRef k_postbyte0_fast_2))
           (portRef k_postbyte0_fast_2 (instanceRef dec_alu))
           (portRef k_postbyte0_fast_2 (instanceRef dec_regs))
          ))
          (net (rename k_postbyte0_3 "k_postbyte0[3]") (joined
           (portRef Q (instanceRef k_postbyte0_3))
           (portRef (member k_postbyte0 4) (instanceRef test_cond))
           (portRef k_postbyte0_3 (instanceRef dec_alu))
           (portRef (member k_postbyte0 4) (instanceRef dec_op))
           (portRef (member k_postbyte0 4) (instanceRef dec_regs))
          ))
          (net (rename cpu0_data_in_3 "cpu0_data_in[3]") (joined
           (portRef (member cpu0_data_in 4))
           (portRef (member cpu0_data_in 4) (instanceRef regs))
           (portRef (member cpu0_data_in 4) (instanceRef alu))
           (portRef D (instanceRef k_ind_ea_3))
           (portRef D (instanceRef k_memhi_3))
           (portRef D (instanceRef k_memlo_3))
           (portRef D (instanceRef k_ofshi_3))
           (portRef D (instanceRef k_ofslo_3))
           (portRef D (instanceRef k_opcode_3))
           (portRef D (instanceRef k_opcode_3_rep1))
           (portRef D (instanceRef k_opcode_3_rep2))
           (portRef D (instanceRef k_opcode_fast_3))
           (portRef D (instanceRef k_postbyte0_fast_3))
           (portRef D (instanceRef k_postbyte0_3))
          ))
          (net (rename k_postbyte0_fast_3 "k_postbyte0_fast[3]") (joined
           (portRef Q (instanceRef k_postbyte0_fast_3))
           (portRef k_postbyte0_fast_3 (instanceRef dec_alu))
           (portRef k_postbyte0_fast_3 (instanceRef dec_regs))
          ))
          (net (rename k_postbyte0_4 "k_postbyte0[4]") (joined
           (portRef Q (instanceRef k_postbyte0_4))
           (portRef (member k_postbyte0 3) (instanceRef test_cond))
           (portRef (member k_postbyte0 3) (instanceRef dec_op))
           (portRef (member k_postbyte0 3) (instanceRef dec_regs))
          ))
          (net (rename k_postbyte0_5 "k_postbyte0[5]") (joined
           (portRef Q (instanceRef k_postbyte0_5))
           (portRef (member k_postbyte0 2) (instanceRef test_cond))
           (portRef (member k_postbyte0 2) (instanceRef dec_op))
           (portRef (member k_postbyte0 2) (instanceRef dec_regs))
          ))
          (net (rename k_postbyte0_6 "k_postbyte0[6]") (joined
           (portRef Q (instanceRef k_postbyte0_6))
           (portRef (member k_postbyte0 1) (instanceRef test_cond))
           (portRef k_postbyte0_6 (instanceRef dec_alu))
           (portRef (member k_postbyte0 1) (instanceRef dec_op))
           (portRef (member k_postbyte0 1) (instanceRef dec_regs))
          ))
          (net (rename cpu0_data_in_6 "cpu0_data_in[6]") (joined
           (portRef (member cpu0_data_in 1))
           (portRef (member cpu0_data_in 1) (instanceRef regs))
           (portRef (member cpu0_data_in 1) (instanceRef alu))
           (portRef D (instanceRef k_ealo_6))
           (portRef D (instanceRef k_ind_ea_6))
           (portRef D (instanceRef k_memhi_6))
           (portRef D (instanceRef k_memlo_6))
           (portRef D (instanceRef k_ofshi_6))
           (portRef D (instanceRef k_ofslo_6))
           (portRef D (instanceRef k_opcode_6))
           (portRef D (instanceRef k_opcode_fast_6))
           (portRef D (instanceRef k_opcode_6_rep1))
           (portRef D (instanceRef k_postbyte0_fast_6))
           (portRef D (instanceRef k_postbyte0_6))
          ))
          (net (rename k_postbyte0_fast_6 "k_postbyte0_fast[6]") (joined
           (portRef Q (instanceRef k_postbyte0_fast_6))
           (portRef k_postbyte0_fast_6 (instanceRef dec_regs))
          ))
          (net (rename k_postbyte0_fast_7 "k_postbyte0_fast[7]") (joined
           (portRef Q (instanceRef k_postbyte0_fast_7))
           (portRef k_postbyte0_fast_7 (instanceRef dec_alu))
           (portRef k_postbyte0_fast_7 (instanceRef dec_op))
           (portRef k_postbyte0_fast_7 (instanceRef dec_regs))
          ))
          (net (rename cpu0_data_in_7 "cpu0_data_in[7]") (joined
           (portRef (member cpu0_data_in 0))
           (portRef (member cpu0_data_in 0) (instanceRef regs))
           (portRef (member cpu0_data_in 0) (instanceRef alu))
           (portRef D (instanceRef k_ealo_7))
           (portRef D (instanceRef k_ind_ea_7))
           (portRef D (instanceRef k_memhi_7))
           (portRef D (instanceRef k_memlo_7))
           (portRef D (instanceRef k_ofshi_7))
           (portRef D (instanceRef k_ofslo_7))
           (portRef D (instanceRef k_opcode_7))
           (portRef D (instanceRef k_opcode_7_rep1))
           (portRef D (instanceRef k_opcode_fast_7))
           (portRef D (instanceRef k_postbyte0_7))
           (portRef D (instanceRef k_postbyte0_fast_7))
          ))
          (net (rename k_postbyte0_7 "k_postbyte0[7]") (joined
           (portRef Q (instanceRef k_postbyte0_7))
           (portRef (member k_postbyte0 0) (instanceRef test_cond))
           (portRef k_postbyte0_7 (instanceRef dec_alu))
           (portRef (member k_postbyte0 0) (instanceRef dec_op))
           (portRef (member k_postbyte0 0) (instanceRef dec_regs))
          ))
          (net k_p2_valid9_fast (joined
           (portRef k_p2_valid9_fast (instanceRef regs))
           (portRef D (instanceRef k_p3_valid_fast))
          ))
          (net un3_cpu_reset_RNI88DC1 (joined
           (portRef un3_cpu_reset_RNI88DC1 (instanceRef alu))
           (portRef SP (instanceRef k_opcode_7))
           (portRef SP (instanceRef k_opcode_6))
           (portRef SP (instanceRef k_opcode_fast_5))
           (portRef SP (instanceRef k_opcode_5))
           (portRef SP (instanceRef k_opcode_4))
           (portRef SP (instanceRef k_opcode_3))
           (portRef SP (instanceRef k_opcode_2))
           (portRef SP (instanceRef k_opcode_0))
           (portRef SP (instanceRef k_opcode_0_rep1))
           (portRef SP (instanceRef k_opcode_1_rep1))
           (portRef SP (instanceRef k_opcode_2_rep1))
           (portRef SP (instanceRef k_opcode_3_rep1))
           (portRef SP (instanceRef k_opcode_3_rep2))
           (portRef SP (instanceRef k_opcode_4_rep1))
           (portRef SP (instanceRef k_opcode_5_rep1))
           (portRef SP (instanceRef k_opcode_7_rep1))
           (portRef SP (instanceRef k_opcode_fast_7))
           (portRef SP (instanceRef k_opcode_fast_6))
           (portRef SP (instanceRef k_opcode_fast_4))
           (portRef SP (instanceRef k_opcode_fast_3))
           (portRef SP (instanceRef k_opcode_fast_2))
           (portRef SP (instanceRef k_opcode_1))
           (portRef SP (instanceRef k_opcode_2_rep2))
           (portRef SP (instanceRef k_opcode_6_rep1))
           (portRef SP (instanceRef k_opcode_fast_1))
           (portRef SP (instanceRef k_opcode_fast_0))
           (portRef SP (instanceRef k_p2_valid))
           (portRef SP (instanceRef k_p2_valid_fast))
           (portRef SP (instanceRef k_p3_valid))
           (portRef SP (instanceRef k_p3_valid_fast))
          ))
          (net k_p3_valid_fast (joined
           (portRef Q (instanceRef k_p3_valid_fast))
           (portRef k_p3_valid_fast (instanceRef dec_regs))
          ))
          (net k_p2_valid9 (joined
           (portRef k_p2_valid9 (instanceRef regs))
           (portRef D (instanceRef k_p3_valid))
          ))
          (net k_p3_valid (joined
           (portRef Q (instanceRef k_p3_valid))
           (portRef k_p3_valid (instanceRef dec_alu))
           (portRef k_p3_valid (instanceRef dec_op))
           (portRef k_p3_valid (instanceRef dec_regs))
          ))
          (net k_p2_valid8_fast (joined
           (portRef k_p2_valid8_fast (instanceRef regs))
           (portRef D (instanceRef k_p2_valid_fast))
          ))
          (net k_p2_valid_fast (joined
           (portRef Q (instanceRef k_p2_valid_fast))
           (portRef k_p2_valid_fast (instanceRef dec_regs))
          ))
          (net k_p2_valid8 (joined
           (portRef k_p2_valid8 (instanceRef regs))
           (portRef D (instanceRef k_p2_valid))
          ))
          (net k_p2_valid (joined
           (portRef Q (instanceRef k_p2_valid))
           (portRef k_p2_valid (instanceRef test_cond))
           (portRef k_p2_valid (instanceRef dec_alu))
           (portRef k_p2_valid (instanceRef dec_op))
           (portRef k_p2_valid (instanceRef regs))
          ))
          (net (rename k_opcode_fast_0 "k_opcode_fast[0]") (joined
           (portRef Q (instanceRef k_opcode_fast_0))
           (portRef (member k_opcode_fast 7) (instanceRef dec_regs))
          ))
          (net (rename cpu0_data_in_1 "cpu0_data_in[1]") (joined
           (portRef (member cpu0_data_in 6))
           (portRef (member cpu0_data_in 6) (instanceRef regs))
           (portRef (member cpu0_data_in 6) (instanceRef alu))
           (portRef D (instanceRef k_ind_ea_1))
           (portRef D (instanceRef k_memhi_1))
           (portRef D (instanceRef k_memlo_1))
           (portRef D (instanceRef k_ofshi_1))
           (portRef D (instanceRef k_ofslo_1))
           (portRef D (instanceRef k_opcode_1_rep1))
           (portRef D (instanceRef k_opcode_1))
           (portRef D (instanceRef k_opcode_fast_1))
           (portRef D (instanceRef k_postbyte0_1))
          ))
          (net (rename k_opcode_fast_1 "k_opcode_fast[1]") (joined
           (portRef Q (instanceRef k_opcode_fast_1))
           (portRef (member k_opcode_fast 0) (instanceRef dec_alu))
           (portRef (member k_opcode_fast 6) (instanceRef dec_regs))
          ))
          (net k_opcode_6_rep1 (joined
           (portRef Q (instanceRef k_opcode_6_rep1))
           (portRef k_opcode_6_rep1 (instanceRef dec_regs))
           (portRef k_opcode_6_rep1 (instanceRef regs))
           (portRef k_opcode_6_rep1 (instanceRef alu))
          ))
          (net k_opcode_2_rep2 (joined
           (portRef Q (instanceRef k_opcode_2_rep2))
           (portRef k_opcode_2_rep2 (instanceRef dec_regs))
           (portRef k_opcode_2_rep2 (instanceRef regs))
           (portRef k_opcode_2_rep2 (instanceRef alu))
          ))
          (net (rename k_opcode_1 "k_opcode[1]") (joined
           (portRef Q (instanceRef k_opcode_1))
           (portRef (member k_opcode 6) (instanceRef test_cond))
           (portRef (member k_opcode 6) (instanceRef dec_alu))
           (portRef (member k_opcode 6) (instanceRef dec_op))
           (portRef (member k_opcode 6) (instanceRef dec_regs))
           (portRef k_opcode_1 (instanceRef regs))
           (portRef (member k_opcode 6) (instanceRef alu))
          ))
          (net (rename k_opcode_fast_2 "k_opcode_fast[2]") (joined
           (portRef Q (instanceRef k_opcode_fast_2))
           (portRef (member k_opcode_fast 5) (instanceRef dec_regs))
          ))
          (net (rename k_opcode_fast_3 "k_opcode_fast[3]") (joined
           (portRef Q (instanceRef k_opcode_fast_3))
           (portRef (member k_opcode_fast 4) (instanceRef dec_regs))
          ))
          (net (rename cpu0_data_in_4 "cpu0_data_in[4]") (joined
           (portRef (member cpu0_data_in 3))
           (portRef (member cpu0_data_in 3) (instanceRef regs))
           (portRef (member cpu0_data_in 3) (instanceRef alu))
           (portRef D (instanceRef k_ealo_4))
           (portRef D (instanceRef k_ind_ea_4))
           (portRef D (instanceRef k_memhi_4))
           (portRef D (instanceRef k_memlo_4))
           (portRef D (instanceRef k_ofshi_4))
           (portRef D (instanceRef k_ofslo_4))
           (portRef D (instanceRef k_opcode_4))
           (portRef D (instanceRef k_opcode_4_rep1))
           (portRef D (instanceRef k_opcode_fast_4))
           (portRef D (instanceRef k_postbyte0_4))
          ))
          (net (rename k_opcode_fast_4 "k_opcode_fast[4]") (joined
           (portRef Q (instanceRef k_opcode_fast_4))
           (portRef (member k_opcode_fast 3) (instanceRef dec_regs))
          ))
          (net (rename k_opcode_fast_6 "k_opcode_fast[6]") (joined
           (portRef Q (instanceRef k_opcode_fast_6))
           (portRef (member k_opcode_fast 1) (instanceRef dec_op))
           (portRef (member k_opcode_fast 1) (instanceRef dec_regs))
          ))
          (net (rename k_opcode_fast_7 "k_opcode_fast[7]") (joined
           (portRef Q (instanceRef k_opcode_fast_7))
           (portRef (member k_opcode_fast 0) (instanceRef dec_op))
           (portRef (member k_opcode_fast 0) (instanceRef dec_regs))
          ))
          (net k_opcode_7_rep1 (joined
           (portRef Q (instanceRef k_opcode_7_rep1))
           (portRef k_opcode_7_rep1 (instanceRef dec_op))
           (portRef k_opcode_7_rep1 (instanceRef dec_regs))
           (portRef k_opcode_7_rep1 (instanceRef regs))
           (portRef k_opcode_7_rep1 (instanceRef alu))
          ))
          (net (rename cpu0_data_in_5 "cpu0_data_in[5]") (joined
           (portRef (member cpu0_data_in 2))
           (portRef (member cpu0_data_in 2) (instanceRef regs))
           (portRef (member cpu0_data_in 2) (instanceRef alu))
           (portRef D (instanceRef k_ealo_5))
           (portRef D (instanceRef k_ind_ea_5))
           (portRef D (instanceRef k_memhi_5))
           (portRef D (instanceRef k_memlo_5))
           (portRef D (instanceRef k_ofshi_5))
           (portRef D (instanceRef k_ofslo_5))
           (portRef D (instanceRef k_opcode_fast_5))
           (portRef D (instanceRef k_opcode_5))
           (portRef D (instanceRef k_opcode_5_rep1))
           (portRef D (instanceRef k_postbyte0_5))
          ))
          (net k_opcode_5_rep1 (joined
           (portRef Q (instanceRef k_opcode_5_rep1))
           (portRef k_opcode_5_rep1 (instanceRef dec_op))
           (portRef k_opcode_5_rep1 (instanceRef dec_regs))
           (portRef k_opcode_5_rep1 (instanceRef regs))
          ))
          (net k_opcode_4_rep1 (joined
           (portRef Q (instanceRef k_opcode_4_rep1))
           (portRef k_opcode_4_rep1 (instanceRef dec_op))
           (portRef k_opcode_4_rep1 (instanceRef dec_regs))
          ))
          (net k_opcode_3_rep2 (joined
           (portRef Q (instanceRef k_opcode_3_rep2))
           (portRef k_opcode_3_rep2 (instanceRef dec_regs))
           (portRef k_opcode_3_rep2 (instanceRef regs))
           (portRef k_opcode_3_rep2 (instanceRef alu))
          ))
          (net k_opcode_3_rep1 (joined
           (portRef Q (instanceRef k_opcode_3_rep1))
           (portRef k_opcode_3_rep1 (instanceRef dec_regs))
           (portRef k_opcode_3_rep1 (instanceRef regs))
           (portRef k_opcode_3_rep1 (instanceRef alu))
          ))
          (net k_opcode_2_rep1 (joined
           (portRef Q (instanceRef k_opcode_2_rep1))
           (portRef k_opcode_2_rep1 (instanceRef dec_alu))
           (portRef k_opcode_2_rep1 (instanceRef dec_regs))
          ))
          (net k_opcode_1_rep1 (joined
           (portRef Q (instanceRef k_opcode_1_rep1))
           (portRef k_opcode_1_rep1 (instanceRef dec_op))
           (portRef k_opcode_1_rep1 (instanceRef dec_regs))
           (portRef k_opcode_1_rep1 (instanceRef alu))
          ))
          (net k_opcode_0_rep1 (joined
           (portRef Q (instanceRef k_opcode_0_rep1))
           (portRef k_opcode_0_rep1 (instanceRef dec_regs))
           (portRef k_opcode_0_rep1 (instanceRef regs))
           (portRef k_opcode_0_rep1 (instanceRef alu))
          ))
          (net (rename k_opcode_0 "k_opcode[0]") (joined
           (portRef Q (instanceRef k_opcode_0))
           (portRef (member k_opcode 7) (instanceRef test_cond))
           (portRef (member k_opcode 7) (instanceRef dec_alu))
           (portRef (member k_opcode 7) (instanceRef dec_op))
           (portRef (member k_opcode 7) (instanceRef dec_regs))
           (portRef k_opcode_0 (instanceRef regs))
           (portRef (member k_opcode 7) (instanceRef alu))
          ))
          (net (rename k_opcode_2 "k_opcode[2]") (joined
           (portRef Q (instanceRef k_opcode_2))
           (portRef (member k_opcode 5) (instanceRef test_cond))
           (portRef (member k_opcode 5) (instanceRef dec_alu))
           (portRef (member k_opcode 5) (instanceRef dec_op))
           (portRef (member k_opcode 5) (instanceRef dec_regs))
           (portRef k_opcode_2 (instanceRef regs))
           (portRef (member k_opcode 5) (instanceRef alu))
          ))
          (net (rename k_opcode_3 "k_opcode[3]") (joined
           (portRef Q (instanceRef k_opcode_3))
           (portRef (member k_opcode 4) (instanceRef test_cond))
           (portRef (member k_opcode 4) (instanceRef dec_alu))
           (portRef (member k_opcode 4) (instanceRef dec_op))
           (portRef (member k_opcode 4) (instanceRef dec_regs))
           (portRef (member k_opcode 4) (instanceRef alu))
          ))
          (net (rename k_opcode_4 "k_opcode[4]") (joined
           (portRef Q (instanceRef k_opcode_4))
           (portRef (member k_opcode 3) (instanceRef test_cond))
           (portRef (member k_opcode 3) (instanceRef dec_alu))
           (portRef (member k_opcode 3) (instanceRef dec_op))
           (portRef (member k_opcode 3) (instanceRef dec_regs))
           (portRef k_opcode_4 (instanceRef regs))
           (portRef (member k_opcode 3) (instanceRef alu))
          ))
          (net (rename k_opcode_5 "k_opcode[5]") (joined
           (portRef Q (instanceRef k_opcode_5))
           (portRef (member k_opcode 2) (instanceRef test_cond))
           (portRef (member k_opcode 2) (instanceRef dec_alu))
           (portRef (member k_opcode 2) (instanceRef dec_op))
           (portRef (member k_opcode 2) (instanceRef dec_regs))
           (portRef k_opcode_5 (instanceRef regs))
           (portRef (member k_opcode 2) (instanceRef alu))
          ))
          (net (rename k_opcode_fast_5 "k_opcode_fast[5]") (joined
           (portRef Q (instanceRef k_opcode_fast_5))
           (portRef (member k_opcode_fast 2) (instanceRef dec_regs))
          ))
          (net (rename k_opcode_6 "k_opcode[6]") (joined
           (portRef Q (instanceRef k_opcode_6))
           (portRef (member k_opcode 1) (instanceRef test_cond))
           (portRef (member k_opcode 1) (instanceRef dec_alu))
           (portRef (member k_opcode 1) (instanceRef dec_op))
           (portRef (member k_opcode 1) (instanceRef dec_regs))
           (portRef k_opcode_6 (instanceRef regs))
           (portRef (member k_opcode 1) (instanceRef alu))
          ))
          (net (rename k_opcode_7 "k_opcode[7]") (joined
           (portRef Q (instanceRef k_opcode_7))
           (portRef (member k_opcode 0) (instanceRef test_cond))
           (portRef (member k_opcode 0) (instanceRef dec_alu))
           (portRef (member k_opcode 0) (instanceRef dec_op))
           (portRef (member k_opcode 0) (instanceRef dec_regs))
           (portRef k_opcode_7 (instanceRef regs))
           (portRef (member k_opcode 0) (instanceRef alu))
          ))
          (net k_pp_regs_11_sqmuxa_RNI51AP (joined
           (portRef k_pp_regs_11_sqmuxa_RNI51AP (instanceRef dec_regs))
           (portRef SP (instanceRef k_ofslo_7))
           (portRef SP (instanceRef k_ofslo_6))
           (portRef SP (instanceRef k_ofslo_5))
           (portRef SP (instanceRef k_ofslo_4))
           (portRef SP (instanceRef k_ofslo_3))
           (portRef SP (instanceRef k_ofslo_2))
           (portRef SP (instanceRef k_ofslo_1))
           (portRef SP (instanceRef k_ofslo_0))
          ))
          (net (rename k_ofslo_0 "k_ofslo[0]") (joined
           (portRef Q (instanceRef k_ofslo_0))
           (portRef (member k_ofslo 7) (instanceRef regs))
          ))
          (net (rename k_ofslo_1 "k_ofslo[1]") (joined
           (portRef Q (instanceRef k_ofslo_1))
           (portRef (member k_ofslo 6) (instanceRef regs))
          ))
          (net (rename k_ofslo_2 "k_ofslo[2]") (joined
           (portRef Q (instanceRef k_ofslo_2))
           (portRef (member k_ofslo 5) (instanceRef regs))
          ))
          (net (rename k_ofslo_3 "k_ofslo[3]") (joined
           (portRef Q (instanceRef k_ofslo_3))
           (portRef (member k_ofslo 4) (instanceRef regs))
          ))
          (net (rename k_ofslo_4 "k_ofslo[4]") (joined
           (portRef Q (instanceRef k_ofslo_4))
           (portRef (member k_ofslo 3) (instanceRef regs))
          ))
          (net (rename k_ofslo_5 "k_ofslo[5]") (joined
           (portRef Q (instanceRef k_ofslo_5))
           (portRef (member k_ofslo 2) (instanceRef regs))
          ))
          (net (rename k_ofslo_6 "k_ofslo[6]") (joined
           (portRef Q (instanceRef k_ofslo_6))
           (portRef (member k_ofslo 1) (instanceRef regs))
          ))
          (net (rename k_ofslo_7 "k_ofslo[7]") (joined
           (portRef Q (instanceRef k_ofslo_7))
           (portRef (member k_ofslo 0) (instanceRef regs))
          ))
          (net k_ofshi_1_sqmuxa_RNITDUU (joined
           (portRef k_ofshi_1_sqmuxa_RNITDUU (instanceRef dec_regs))
           (portRef SP (instanceRef k_ofshi_7))
           (portRef SP (instanceRef k_ofshi_6))
           (portRef SP (instanceRef k_ofshi_5))
           (portRef SP (instanceRef k_ofshi_4))
           (portRef SP (instanceRef k_ofshi_3))
           (portRef SP (instanceRef k_ofshi_2))
           (portRef SP (instanceRef k_ofshi_1))
           (portRef SP (instanceRef k_ofshi_0))
          ))
          (net (rename k_ofshi_0 "k_ofshi[0]") (joined
           (portRef Q (instanceRef k_ofshi_0))
           (portRef (member k_ofshi 7) (instanceRef regs))
          ))
          (net (rename k_ofshi_1 "k_ofshi[1]") (joined
           (portRef Q (instanceRef k_ofshi_1))
           (portRef (member k_ofshi 6) (instanceRef regs))
          ))
          (net (rename k_ofshi_2 "k_ofshi[2]") (joined
           (portRef Q (instanceRef k_ofshi_2))
           (portRef (member k_ofshi 5) (instanceRef regs))
          ))
          (net (rename k_ofshi_3 "k_ofshi[3]") (joined
           (portRef Q (instanceRef k_ofshi_3))
           (portRef (member k_ofshi 4) (instanceRef regs))
          ))
          (net (rename k_ofshi_4 "k_ofshi[4]") (joined
           (portRef Q (instanceRef k_ofshi_4))
           (portRef (member k_ofshi 3) (instanceRef regs))
          ))
          (net (rename k_ofshi_5 "k_ofshi[5]") (joined
           (portRef Q (instanceRef k_ofshi_5))
           (portRef (member k_ofshi 2) (instanceRef regs))
          ))
          (net (rename k_ofshi_6 "k_ofshi[6]") (joined
           (portRef Q (instanceRef k_ofshi_6))
           (portRef (member k_ofshi 1) (instanceRef regs))
          ))
          (net (rename k_ofshi_7 "k_ofshi[7]") (joined
           (portRef Q (instanceRef k_ofshi_7))
           (portRef (member k_ofshi 0) (instanceRef regs))
          ))
          (net (rename regs_o_pc_8 "regs_o_pc[8]") (joined
           (portRef (member regs_o_pc 7) (instanceRef regs))
           (portRef regs_o_pc_8 (instanceRef alu))
           (portRef D (instanceRef k_new_pc_pipe_9))
          ))
          (net un1_state80_RNITTHJ (joined
           (portRef un1_state80_RNITTHJ (instanceRef dec_op))
           (portRef un1_state80_RNITTHJ (instanceRef regs))
           (portRef un1_state80_RNITTHJ (instanceRef alu))
           (portRef SP (instanceRef k_new_pc_pipe_1))
           (portRef SP (instanceRef k_new_pc_pipe_10))
           (portRef SP (instanceRef k_new_pc_pipe_11))
           (portRef SP (instanceRef k_new_pc_pipe_12))
           (portRef SP (instanceRef k_new_pc_pipe_13))
           (portRef SP (instanceRef k_new_pc_pipe_14))
           (portRef SP (instanceRef k_new_pc_pipe_15))
           (portRef SP (instanceRef k_new_pc_pipe_16))
           (portRef SP (instanceRef k_new_pc_pipe_17))
           (portRef SP (instanceRef k_new_pc_pipe_18))
           (portRef SP (instanceRef k_new_pc_pipe_19))
           (portRef SP (instanceRef k_new_pc_pipe_2))
           (portRef SP (instanceRef k_new_pc_pipe_20))
           (portRef SP (instanceRef k_new_pc_pipe_21))
           (portRef SP (instanceRef k_new_pc_pipe_22))
           (portRef SP (instanceRef k_new_pc_pipe_23))
           (portRef SP (instanceRef k_new_pc_pipe_24))
           (portRef SP (instanceRef k_new_pc_pipe_3))
           (portRef SP (instanceRef k_new_pc_pipe_4))
           (portRef SP (instanceRef k_new_pc_pipe_5))
           (portRef SP (instanceRef k_new_pc_pipe_6))
           (portRef SP (instanceRef k_new_pc_pipe_7))
           (portRef SP (instanceRef k_new_pc_pipe_8))
           (portRef SP (instanceRef k_new_pc_pipe_9))
          ))
          (net (rename regs_o_pcf_8 "regs_o_pcf[8]") (joined
           (portRef Q (instanceRef k_new_pc_pipe_9))
           (portRef A1 (instanceRef un1_regs_o_pc_cry_7_0))
          ))
          (net (rename regs_o_pc_7 "regs_o_pc[7]") (joined
           (portRef (member regs_o_pc 8) (instanceRef regs))
           (portRef regs_o_pc_7 (instanceRef alu))
           (portRef D (instanceRef k_new_pc_pipe_8))
          ))
          (net (rename regs_o_pcf_7 "regs_o_pcf[7]") (joined
           (portRef Q (instanceRef k_new_pc_pipe_8))
           (portRef A0 (instanceRef un1_regs_o_pc_cry_7_0))
          ))
          (net (rename regs_o_pc_6 "regs_o_pc[6]") (joined
           (portRef (member regs_o_pc 9) (instanceRef regs))
           (portRef regs_o_pc_6 (instanceRef alu))
           (portRef D (instanceRef k_new_pc_pipe_7))
          ))
          (net (rename regs_o_pcf_6 "regs_o_pcf[6]") (joined
           (portRef Q (instanceRef k_new_pc_pipe_7))
           (portRef A1 (instanceRef un1_regs_o_pc_cry_5_0))
          ))
          (net (rename regs_o_pc_5 "regs_o_pc[5]") (joined
           (portRef (member regs_o_pc 10) (instanceRef regs))
           (portRef D (instanceRef k_new_pc_pipe_6))
          ))
          (net (rename regs_o_pcf_5 "regs_o_pcf[5]") (joined
           (portRef Q (instanceRef k_new_pc_pipe_6))
           (portRef A0 (instanceRef un1_regs_o_pc_cry_5_0))
          ))
          (net (rename regs_o_pc_4 "regs_o_pc[4]") (joined
           (portRef (member regs_o_pc 11) (instanceRef regs))
           (portRef regs_o_pc_4 (instanceRef alu))
           (portRef D (instanceRef k_new_pc_pipe_5))
          ))
          (net (rename regs_o_pcf_4 "regs_o_pcf[4]") (joined
           (portRef Q (instanceRef k_new_pc_pipe_5))
           (portRef A1 (instanceRef un1_regs_o_pc_cry_3_0))
          ))
          (net (rename regs_o_pc_3 "regs_o_pc[3]") (joined
           (portRef (member regs_o_pc 12) (instanceRef regs))
           (portRef regs_o_pc_3 (instanceRef alu))
           (portRef D (instanceRef k_new_pc_pipe_4))
          ))
          (net (rename regs_o_pcf_3 "regs_o_pcf[3]") (joined
           (portRef Q (instanceRef k_new_pc_pipe_4))
           (portRef A0 (instanceRef un1_regs_o_pc_cry_3_0))
          ))
          (net (rename regs_o_pc_2 "regs_o_pc[2]") (joined
           (portRef (member regs_o_pc 13) (instanceRef regs))
           (portRef D (instanceRef k_new_pc_pipe_3))
          ))
          (net (rename regs_o_pcf_2 "regs_o_pcf[2]") (joined
           (portRef Q (instanceRef k_new_pc_pipe_3))
           (portRef A1 (instanceRef un1_regs_o_pc_cry_1_0))
          ))
          (net (rename k_memlo_7 "k_memlo[7]") (joined
           (portRef Q (instanceRef k_memlo_7))
           (portRef (member k_memlo 0) (instanceRef alu))
           (portRef D (instanceRef k_new_pc_pipe_24))
          ))
          (net (rename k_memlof_7 "k_memlof[7]") (joined
           (portRef Q (instanceRef k_new_pc_pipe_24))
           (portRef k_memlof_4 (instanceRef alu))
           (portRef B0 (instanceRef un1_regs_o_pc_cry_7_0))
          ))
          (net (rename k_memlo_6 "k_memlo[6]") (joined
           (portRef Q (instanceRef k_memlo_6))
           (portRef (member k_memlo 1) (instanceRef alu))
           (portRef D (instanceRef k_new_pc_pipe_23))
          ))
          (net (rename k_memlof_6 "k_memlof[6]") (joined
           (portRef Q (instanceRef k_new_pc_pipe_23))
           (portRef k_memlof_6 (instanceRef regs))
           (portRef B1 (instanceRef un1_regs_o_pc_cry_5_0))
          ))
          (net (rename k_memlo_5 "k_memlo[5]") (joined
           (portRef Q (instanceRef k_memlo_5))
           (portRef (member k_memlo 2) (instanceRef alu))
           (portRef D (instanceRef k_new_pc_pipe_22))
          ))
          (net (rename k_memlof_5 "k_memlof[5]") (joined
           (portRef Q (instanceRef k_new_pc_pipe_22))
           (portRef k_memlof_5 (instanceRef regs))
           (portRef B0 (instanceRef un1_regs_o_pc_cry_5_0))
          ))
          (net (rename k_memlo_4 "k_memlo[4]") (joined
           (portRef Q (instanceRef k_memlo_4))
           (portRef (member k_memlo 3) (instanceRef alu))
           (portRef D (instanceRef k_new_pc_pipe_21))
          ))
          (net (rename k_memlof_4 "k_memlof[4]") (joined
           (portRef Q (instanceRef k_new_pc_pipe_21))
           (portRef k_memlof_4 (instanceRef regs))
           (portRef B1 (instanceRef un1_regs_o_pc_cry_3_0))
          ))
          (net (rename k_memlo_3 "k_memlo[3]") (joined
           (portRef Q (instanceRef k_memlo_3))
           (portRef (member k_memlo 4) (instanceRef alu))
           (portRef D (instanceRef k_new_pc_pipe_20))
          ))
          (net (rename k_memlof_3 "k_memlof[3]") (joined
           (portRef Q (instanceRef k_new_pc_pipe_20))
           (portRef k_memlof_0 (instanceRef alu))
           (portRef B0 (instanceRef un1_regs_o_pc_cry_3_0))
          ))
          (net (rename regs_o_pc_0 "regs_o_pc[0]") (joined
           (portRef (member regs_o_pc 15) (instanceRef regs))
           (portRef regs_o_pc_0 (instanceRef alu))
           (portRef D (instanceRef k_new_pc_pipe_2))
          ))
          (net un1_regs_o_pc (joined
           (portRef Q (instanceRef k_new_pc_pipe_2))
           (portRef un1_regs_o_pc_1z (instanceRef regs))
           (portRef A1 (instanceRef un1_regs_o_pc_cry_0_0))
          ))
          (net (rename k_memlo_2 "k_memlo[2]") (joined
           (portRef Q (instanceRef k_memlo_2))
           (portRef (member k_memlo 5) (instanceRef alu))
           (portRef D (instanceRef k_new_pc_pipe_19))
          ))
          (net (rename k_memlof_2 "k_memlof[2]") (joined
           (portRef Q (instanceRef k_new_pc_pipe_19))
           (portRef k_memlof_2 (instanceRef regs))
           (portRef B1 (instanceRef un1_regs_o_pc_cry_1_0))
          ))
          (net (rename k_memlo_1 "k_memlo[1]") (joined
           (portRef Q (instanceRef k_memlo_1))
           (portRef (member k_memlo 6) (instanceRef alu))
           (portRef D (instanceRef k_new_pc_pipe_18))
          ))
          (net (rename k_memlof_1 "k_memlof[1]") (joined
           (portRef Q (instanceRef k_new_pc_pipe_18))
           (portRef k_memlof_1 (instanceRef regs))
           (portRef B0 (instanceRef un1_regs_o_pc_cry_1_0))
          ))
          (net (rename k_memlo_0 "k_memlo[0]") (joined
           (portRef Q (instanceRef k_memlo_0))
           (portRef (member k_memlo 0) (instanceRef regs))
           (portRef (member k_memlo 7) (instanceRef alu))
           (portRef D (instanceRef k_new_pc_pipe_17))
          ))
          (net (rename k_memlof_0 "k_memlof[0]") (joined
           (portRef Q (instanceRef k_new_pc_pipe_17))
           (portRef k_memlof_0 (instanceRef regs))
           (portRef B1 (instanceRef un1_regs_o_pc_cry_0_0))
          ))
          (net (rename regs_o_pc_15 "regs_o_pc[15]") (joined
           (portRef (member regs_o_pc 0) (instanceRef regs))
           (portRef regs_o_pc_15 (instanceRef alu))
           (portRef D (instanceRef k_new_pc_pipe_16))
          ))
          (net (rename regs_o_pcf_15 "regs_o_pcf[15]") (joined
           (portRef Q (instanceRef k_new_pc_pipe_16))
           (portRef A0 (instanceRef un1_regs_o_pc_s_15_0))
          ))
          (net (rename regs_o_pc_14 "regs_o_pc[14]") (joined
           (portRef (member regs_o_pc 1) (instanceRef regs))
           (portRef regs_o_pc_14 (instanceRef alu))
           (portRef D (instanceRef k_new_pc_pipe_15))
          ))
          (net (rename regs_o_pcf_14 "regs_o_pcf[14]") (joined
           (portRef Q (instanceRef k_new_pc_pipe_15))
           (portRef A1 (instanceRef un1_regs_o_pc_cry_13_0))
          ))
          (net (rename regs_o_pc_13 "regs_o_pc[13]") (joined
           (portRef (member regs_o_pc 2) (instanceRef regs))
           (portRef D (instanceRef k_new_pc_pipe_14))
          ))
          (net (rename regs_o_pcf_13 "regs_o_pcf[13]") (joined
           (portRef Q (instanceRef k_new_pc_pipe_14))
           (portRef A0 (instanceRef un1_regs_o_pc_cry_13_0))
          ))
          (net (rename regs_o_pc_12 "regs_o_pc[12]") (joined
           (portRef (member regs_o_pc 3) (instanceRef regs))
           (portRef regs_o_pc_12 (instanceRef alu))
           (portRef D (instanceRef k_new_pc_pipe_13))
          ))
          (net (rename regs_o_pcf_12 "regs_o_pcf[12]") (joined
           (portRef Q (instanceRef k_new_pc_pipe_13))
           (portRef A1 (instanceRef un1_regs_o_pc_cry_11_0))
          ))
          (net (rename regs_o_pc_11 "regs_o_pc[11]") (joined
           (portRef (member regs_o_pc 4) (instanceRef regs))
           (portRef D (instanceRef k_new_pc_pipe_12))
          ))
          (net (rename regs_o_pcf_11 "regs_o_pcf[11]") (joined
           (portRef Q (instanceRef k_new_pc_pipe_12))
           (portRef A0 (instanceRef un1_regs_o_pc_cry_11_0))
          ))
          (net (rename regs_o_pc_10 "regs_o_pc[10]") (joined
           (portRef (member regs_o_pc 5) (instanceRef regs))
           (portRef D (instanceRef k_new_pc_pipe_11))
          ))
          (net (rename regs_o_pcf_10 "regs_o_pcf[10]") (joined
           (portRef Q (instanceRef k_new_pc_pipe_11))
           (portRef A1 (instanceRef un1_regs_o_pc_cry_9_0))
          ))
          (net (rename regs_o_pc_9 "regs_o_pc[9]") (joined
           (portRef (member regs_o_pc 6) (instanceRef regs))
           (portRef D (instanceRef k_new_pc_pipe_10))
          ))
          (net (rename regs_o_pcf_9 "regs_o_pcf[9]") (joined
           (portRef Q (instanceRef k_new_pc_pipe_10))
           (portRef A0 (instanceRef un1_regs_o_pc_cry_9_0))
          ))
          (net (rename regs_o_pc_1 "regs_o_pc[1]") (joined
           (portRef (member regs_o_pc 14) (instanceRef regs))
           (portRef regs_o_pc_1 (instanceRef alu))
           (portRef D (instanceRef k_new_pc_pipe_1))
          ))
          (net (rename regs_o_pcf_1 "regs_o_pcf[1]") (joined
           (portRef Q (instanceRef k_new_pc_pipe_1))
           (portRef A0 (instanceRef un1_regs_o_pc_cry_1_0))
          ))
          (net k_memlo_1_sqmuxa_RNIJI2O (joined
           (portRef k_memlo_1_sqmuxa_RNIJI2O (instanceRef regs))
           (portRef SP (instanceRef k_memlo_7))
           (portRef SP (instanceRef k_memlo_6))
           (portRef SP (instanceRef k_memlo_5))
           (portRef SP (instanceRef k_memlo_4))
           (portRef SP (instanceRef k_memlo_3))
           (portRef SP (instanceRef k_memlo_2))
           (portRef SP (instanceRef k_memlo_1))
           (portRef SP (instanceRef k_memlo_0))
          ))
          (net k_memhi_0_sqmuxa_RNI76MN (joined
           (portRef k_memhi_0_sqmuxa_RNI76MN (instanceRef alu))
           (portRef SP (instanceRef k_memhi_7))
           (portRef SP (instanceRef k_memhi_6))
           (portRef SP (instanceRef k_memhi_5))
           (portRef SP (instanceRef k_memhi_4))
           (portRef SP (instanceRef k_memhi_3))
           (portRef SP (instanceRef k_memhi_2))
           (portRef SP (instanceRef k_memhi_1))
           (portRef SP (instanceRef k_memhi_0))
          ))
          (net (rename k_memhi_0 "k_memhi[0]") (joined
           (portRef Q (instanceRef k_memhi_0))
           (portRef (member k_memhi 7) (instanceRef alu))
          ))
          (net (rename k_memhi_1 "k_memhi[1]") (joined
           (portRef Q (instanceRef k_memhi_1))
           (portRef (member k_memhi 6) (instanceRef alu))
          ))
          (net (rename k_memhi_2 "k_memhi[2]") (joined
           (portRef Q (instanceRef k_memhi_2))
           (portRef (member k_memhi 5) (instanceRef alu))
          ))
          (net (rename k_memhi_3 "k_memhi[3]") (joined
           (portRef Q (instanceRef k_memhi_3))
           (portRef (member k_memhi 0) (instanceRef regs))
           (portRef (member k_memhi 4) (instanceRef alu))
          ))
          (net (rename k_memhi_4 "k_memhi[4]") (joined
           (portRef Q (instanceRef k_memhi_4))
           (portRef (member k_memhi 3) (instanceRef alu))
          ))
          (net (rename k_memhi_5 "k_memhi[5]") (joined
           (portRef Q (instanceRef k_memhi_5))
           (portRef (member k_memhi 2) (instanceRef alu))
          ))
          (net (rename k_memhi_6 "k_memhi[6]") (joined
           (portRef Q (instanceRef k_memhi_6))
           (portRef (member k_memhi 1) (instanceRef alu))
          ))
          (net (rename k_memhi_7 "k_memhi[7]") (joined
           (portRef Q (instanceRef k_memhi_7))
           (portRef (member k_memhi 0) (instanceRef alu))
          ))
          (net un1_state_24_1_RNICQBV1 (joined
           (portRef un1_state_24_1_RNICQBV1 (instanceRef regs))
           (portRef SP (instanceRef k_ind_ea_7))
           (portRef SP (instanceRef k_ind_ea_6))
           (portRef SP (instanceRef k_ind_ea_5))
           (portRef SP (instanceRef k_ind_ea_4))
           (portRef SP (instanceRef k_ind_ea_3))
           (portRef SP (instanceRef k_ind_ea_2))
           (portRef SP (instanceRef k_ind_ea_1))
           (portRef SP (instanceRef k_ind_ea_0))
          ))
          (net (rename k_ind_ea_0 "k_ind_ea[0]") (joined
           (portRef Q (instanceRef k_ind_ea_0))
           (portRef k_ind_ea_0 (instanceRef dec_ea))
           (portRef (member k_ind_ea 7) (instanceRef regs))
          ))
          (net (rename k_ind_ea_1 "k_ind_ea[1]") (joined
           (portRef Q (instanceRef k_ind_ea_1))
           (portRef k_ind_ea_1 (instanceRef dec_ea))
           (portRef (member k_ind_ea 6) (instanceRef regs))
          ))
          (net (rename k_ind_ea_2 "k_ind_ea[2]") (joined
           (portRef Q (instanceRef k_ind_ea_2))
           (portRef k_ind_ea_2 (instanceRef dec_ea))
           (portRef (member k_ind_ea 5) (instanceRef regs))
          ))
          (net (rename k_ind_ea_3 "k_ind_ea[3]") (joined
           (portRef Q (instanceRef k_ind_ea_3))
           (portRef k_ind_ea_3 (instanceRef dec_ea))
           (portRef (member k_ind_ea 4) (instanceRef regs))
          ))
          (net (rename k_ind_ea_4 "k_ind_ea[4]") (joined
           (portRef Q (instanceRef k_ind_ea_4))
           (portRef k_ind_ea_4 (instanceRef dec_ea))
           (portRef k_ind_ea_0 (instanceRef dec_regs))
           (portRef (member k_ind_ea 3) (instanceRef regs))
          ))
          (net (rename k_ind_ea_5 "k_ind_ea[5]") (joined
           (portRef Q (instanceRef k_ind_ea_5))
           (portRef (member k_ind_ea 2) (instanceRef regs))
          ))
          (net (rename k_ind_ea_6 "k_ind_ea[6]") (joined
           (portRef Q (instanceRef k_ind_ea_6))
           (portRef (member k_ind_ea 1) (instanceRef regs))
          ))
          (net (rename k_ind_ea_7 "k_ind_ea[7]") (joined
           (portRef Q (instanceRef k_ind_ea_7))
           (portRef k_ind_ea_7 (instanceRef dec_ea))
           (portRef k_ind_ea_3 (instanceRef dec_regs))
           (portRef (member k_ind_ea 0) (instanceRef regs))
          ))
          (net (rename un1_state_68_0 "un1_state_68[0]") (joined
           (portRef (member un1_state_68 0) (instanceRef alu))
           (portRef D (instanceRef k_inc_su))
          ))
          (net k_inc_su (joined
           (portRef Q (instanceRef k_inc_su))
           (portRef k_inc_su (instanceRef regs))
          ))
          (net un1_state_77 (joined
           (portRef un1_state_77 (instanceRef alu))
           (portRef D (instanceRef k_inc_pc))
          ))
          (net k_inc_pc (joined
           (portRef Q (instanceRef k_inc_pc))
           (portRef k_inc_pc (instanceRef regs))
          ))
          (net k_pp_regs59_RNIJ43P2 (joined
           (portRef k_pp_regs59_RNIJ43P2 (instanceRef dec_regs))
           (portRef A (instanceRef state_RNI7S063_5))
           (portRef SP (instanceRef k_ealo_7))
           (portRef SP (instanceRef k_ealo_6))
           (portRef SP (instanceRef k_ealo_5))
           (portRef SP (instanceRef k_ealo_4))
           (portRef SP (instanceRef k_ealo_3))
           (portRef SP (instanceRef k_ealo_2))
           (portRef SP (instanceRef k_ealo_1))
           (portRef SP (instanceRef k_ealo_0))
          ))
          (net (rename state_RNI7S063_5 "state_RNI7S063[5]") (joined
           (portRef Z (instanceRef state_RNI7S063_5))
           (portRef PD (instanceRef k_ealo_7))
           (portRef PD (instanceRef k_ealo_6))
           (portRef PD (instanceRef k_ealo_5))
           (portRef PD (instanceRef k_ealo_4))
           (portRef CD (instanceRef k_ealo_0))
          ))
          (net (rename k_ealo_0 "k_ealo[0]") (joined
           (portRef Q (instanceRef k_ealo_0))
           (portRef k_ealo_0 (instanceRef regs))
          ))
          (net (rename k_ealo_7_1 "k_ealo_7[1]") (joined
           (portRef (member k_ealo_7 2) (instanceRef regs))
           (portRef D (instanceRef k_ealo_1))
          ))
          (net (rename k_ealo_1 "k_ealo[1]") (joined
           (portRef Q (instanceRef k_ealo_1))
           (portRef k_ealo_1 (instanceRef regs))
           (portRef k_ealo_0 (instanceRef alu))
          ))
          (net (rename k_ealo_7_2 "k_ealo_7[2]") (joined
           (portRef (member k_ealo_7 1) (instanceRef regs))
           (portRef D (instanceRef k_ealo_2))
          ))
          (net (rename k_ealo_2 "k_ealo[2]") (joined
           (portRef Q (instanceRef k_ealo_2))
           (portRef k_ealo_2 (instanceRef regs))
          ))
          (net (rename k_ealo_7_3 "k_ealo_7[3]") (joined
           (portRef (member k_ealo_7 0) (instanceRef regs))
           (portRef D (instanceRef k_ealo_3))
          ))
          (net (rename k_ealo_3 "k_ealo[3]") (joined
           (portRef Q (instanceRef k_ealo_3))
           (portRef k_ealo_2 (instanceRef alu))
          ))
          (net (rename k_ealo_4 "k_ealo[4]") (joined
           (portRef Q (instanceRef k_ealo_4))
           (portRef k_ealo_4 (instanceRef regs))
           (portRef k_ealo_3 (instanceRef alu))
          ))
          (net (rename k_ealo_5 "k_ealo[5]") (joined
           (portRef Q (instanceRef k_ealo_5))
           (portRef k_ealo_5 (instanceRef regs))
          ))
          (net (rename k_ealo_6 "k_ealo[6]") (joined
           (portRef Q (instanceRef k_ealo_6))
           (portRef k_ealo_6 (instanceRef regs))
           (portRef k_ealo_5 (instanceRef alu))
          ))
          (net (rename k_ealo_7 "k_ealo[7]") (joined
           (portRef Q (instanceRef k_ealo_7))
           (portRef k_ealo_6 (instanceRef alu))
          ))
          (net N_1513_i (joined
           (portRef N_1513_i (instanceRef regs))
           (portRef D (instanceRef k_eahi_0))
          ))
          (net state81_RNIRM564 (joined
           (portRef state81_RNIRM564 (instanceRef dec_regs))
           (portRef SP (instanceRef k_eahi_7))
           (portRef SP (instanceRef k_eahi_6))
           (portRef SP (instanceRef k_eahi_5))
           (portRef SP (instanceRef k_eahi_4))
           (portRef SP (instanceRef k_eahi_3))
           (portRef SP (instanceRef k_eahi_2))
           (portRef SP (instanceRef k_eahi_1))
           (portRef SP (instanceRef k_eahi_0))
          ))
          (net (rename k_eahi_0 "k_eahi[0]") (joined
           (portRef Q (instanceRef k_eahi_0))
           (portRef k_eahi_0 (instanceRef alu))
          ))
          (net N_1532_i (joined
           (portRef N_1532_i (instanceRef alu))
           (portRef D (instanceRef k_eahi_1))
          ))
          (net (rename k_eahi_1 "k_eahi[1]") (joined
           (portRef Q (instanceRef k_eahi_1))
           (portRef k_eahi_0 (instanceRef regs))
          ))
          (net N_1551_i (joined
           (portRef N_1551_i (instanceRef regs))
           (portRef D (instanceRef k_eahi_2))
          ))
          (net (rename k_eahi_2 "k_eahi[2]") (joined
           (portRef Q (instanceRef k_eahi_2))
           (portRef k_eahi_1 (instanceRef regs))
          ))
          (net N_1570_i (joined
           (portRef N_1570_i (instanceRef regs))
           (portRef D (instanceRef k_eahi_3))
          ))
          (net (rename k_eahi_3 "k_eahi[3]") (joined
           (portRef Q (instanceRef k_eahi_3))
           (portRef k_eahi_2 (instanceRef regs))
          ))
          (net N_1589_i (joined
           (portRef N_1589_i (instanceRef regs))
           (portRef D (instanceRef k_eahi_4))
          ))
          (net (rename k_eahi_4 "k_eahi[4]") (joined
           (portRef Q (instanceRef k_eahi_4))
           (portRef k_eahi_4 (instanceRef alu))
          ))
          (net N_1608_i (joined
           (portRef N_1608_i (instanceRef regs))
           (portRef D (instanceRef k_eahi_5))
          ))
          (net (rename k_eahi_5 "k_eahi[5]") (joined
           (portRef Q (instanceRef k_eahi_5))
           (portRef k_eahi_4 (instanceRef regs))
          ))
          (net N_1627_i (joined
           (portRef N_1627_i (instanceRef alu))
           (portRef D (instanceRef k_eahi_6))
          ))
          (net (rename k_eahi_6 "k_eahi[6]") (joined
           (portRef Q (instanceRef k_eahi_6))
           (portRef k_eahi_6 (instanceRef alu))
          ))
          (net N_1646_i (joined
           (portRef N_1646_i (instanceRef alu))
           (portRef D (instanceRef k_eahi_7))
          ))
          (net (rename k_eahi_7 "k_eahi[7]") (joined
           (portRef Q (instanceRef k_eahi_7))
           (portRef k_eahi_7 (instanceRef alu))
          ))
          (net k_dec_su_3 (joined
           (portRef k_dec_su_3 (instanceRef alu))
           (portRef k_dec_su_3 (instanceRef dec_regs))
           (portRef D (instanceRef k_dec_su))
          ))
          (net k_dec_su (joined
           (portRef Q (instanceRef k_dec_su))
           (portRef k_dec_su (instanceRef regs))
          ))
          (net k_cpu_we_3 (joined
           (portRef k_cpu_we_3 (instanceRef alu))
           (portRef D (instanceRef k_cpu_we))
          ))
          (net cpu0_we (joined
           (portRef Q (instanceRef k_cpu_we))
           (portRef cpu0_we (instanceRef alu))
           (portRef A (instanceRef k_cpu_we_RNIJN87))
           (portRef cpu0_we)
          ))
          (net k_cpu_oe_3 (joined
           (portRef k_cpu_oe_3 (instanceRef alu))
           (portRef D (instanceRef k_cpu_oe))
          ))
          (net (rename k_cpu_data_o_7_0 "k_cpu_data_o_7[0]") (joined
           (portRef (member k_cpu_data_o_7 7) (instanceRef alu))
           (portRef D (instanceRef k_cpu_data_o_0))
          ))
          (net un3_cpu_reset_RNIAR2G3 (joined
           (portRef un3_cpu_reset_RNIAR2G3 (instanceRef alu))
           (portRef SP (instanceRef k_cpu_data_o_7))
           (portRef SP (instanceRef k_cpu_data_o_6))
           (portRef SP (instanceRef k_cpu_data_o_5))
           (portRef SP (instanceRef k_cpu_data_o_4))
           (portRef SP (instanceRef k_cpu_data_o_3))
           (portRef SP (instanceRef k_cpu_data_o_2))
           (portRef SP (instanceRef k_cpu_data_o_1))
           (portRef SP (instanceRef k_cpu_data_o_0))
          ))
          (net (rename k_cpu_data_o_7_1 "k_cpu_data_o_7[1]") (joined
           (portRef (member k_cpu_data_o_7 6) (instanceRef alu))
           (portRef D (instanceRef k_cpu_data_o_1))
          ))
          (net (rename k_cpu_data_o_7_2 "k_cpu_data_o_7[2]") (joined
           (portRef (member k_cpu_data_o_7 5) (instanceRef alu))
           (portRef D (instanceRef k_cpu_data_o_2))
          ))
          (net (rename k_cpu_data_o_7_3 "k_cpu_data_o_7[3]") (joined
           (portRef (member k_cpu_data_o_7 4) (instanceRef alu))
           (portRef D (instanceRef k_cpu_data_o_3))
          ))
          (net (rename k_cpu_data_o_7_4 "k_cpu_data_o_7[4]") (joined
           (portRef (member k_cpu_data_o_7 3) (instanceRef alu))
           (portRef D (instanceRef k_cpu_data_o_4))
          ))
          (net (rename k_cpu_data_o_7_5 "k_cpu_data_o_7[5]") (joined
           (portRef (member k_cpu_data_o_7 2) (instanceRef alu))
           (portRef D (instanceRef k_cpu_data_o_5))
          ))
          (net (rename k_cpu_data_o_7_6 "k_cpu_data_o_7[6]") (joined
           (portRef (member k_cpu_data_o_7 1) (instanceRef alu))
           (portRef D (instanceRef k_cpu_data_o_6))
          ))
          (net (rename k_cpu_data_o_7_7 "k_cpu_data_o_7[7]") (joined
           (portRef (member k_cpu_data_o_7 0) (instanceRef alu))
           (portRef D (instanceRef k_cpu_data_o_7))
          ))
          (net (rename k_cpu_addr_lm_0 "k_cpu_addr_lm[0]") (joined
           (portRef Z (instanceRef k_cpu_addr_lm_0_0))
           (portRef D (instanceRef k_cpu_addr_0))
          ))
          (net un1_state_97_RNINJB31 (joined
           (portRef un1_state_97_RNINJB31 (instanceRef alu))
           (portRef SP (instanceRef k_cpu_addr_15))
           (portRef SP (instanceRef k_cpu_addr_14))
           (portRef SP (instanceRef k_cpu_addr_13))
           (portRef SP (instanceRef k_cpu_addr_12))
           (portRef SP (instanceRef k_cpu_addr_11))
           (portRef SP (instanceRef k_cpu_addr_10))
           (portRef SP (instanceRef k_cpu_addr_9))
           (portRef SP (instanceRef k_cpu_addr_8))
           (portRef SP (instanceRef k_cpu_addr_7))
           (portRef SP (instanceRef k_cpu_addr_6))
           (portRef SP (instanceRef k_cpu_addr_5))
           (portRef SP (instanceRef k_cpu_addr_4))
           (portRef SP (instanceRef k_cpu_addr_3))
           (portRef SP (instanceRef k_cpu_addr_2))
           (portRef SP (instanceRef k_cpu_addr_1))
           (portRef SP (instanceRef k_cpu_addr_0))
          ))
          (net (rename k_cpu_addr_lm_1 "k_cpu_addr_lm[1]") (joined
           (portRef Z (instanceRef k_cpu_addr_lm_0_1))
           (portRef D (instanceRef k_cpu_addr_1))
          ))
          (net (rename k_cpu_addr_lm_2 "k_cpu_addr_lm[2]") (joined
           (portRef Z (instanceRef k_cpu_addr_lm_0_2))
           (portRef D (instanceRef k_cpu_addr_2))
          ))
          (net (rename k_cpu_addr_lm_3 "k_cpu_addr_lm[3]") (joined
           (portRef Z (instanceRef k_cpu_addr_lm_0_3))
           (portRef D (instanceRef k_cpu_addr_3))
          ))
          (net (rename k_cpu_addr_lm_4 "k_cpu_addr_lm[4]") (joined
           (portRef Z (instanceRef k_cpu_addr_lm_0_4))
           (portRef D (instanceRef k_cpu_addr_4))
          ))
          (net (rename k_cpu_addr_lm_5 "k_cpu_addr_lm[5]") (joined
           (portRef Z (instanceRef k_cpu_addr_lm_0_5))
           (portRef D (instanceRef k_cpu_addr_5))
          ))
          (net (rename k_cpu_addr_lm_6 "k_cpu_addr_lm[6]") (joined
           (portRef Z (instanceRef k_cpu_addr_lm_0_6))
           (portRef D (instanceRef k_cpu_addr_6))
          ))
          (net (rename k_cpu_addr_lm_7 "k_cpu_addr_lm[7]") (joined
           (portRef Z (instanceRef k_cpu_addr_lm_0_7))
           (portRef D (instanceRef k_cpu_addr_7))
          ))
          (net (rename k_cpu_addr_lm_8 "k_cpu_addr_lm[8]") (joined
           (portRef Z (instanceRef k_cpu_addr_lm_0_8))
           (portRef D (instanceRef k_cpu_addr_8))
          ))
          (net (rename k_cpu_addr_lm_9 "k_cpu_addr_lm[9]") (joined
           (portRef Z (instanceRef k_cpu_addr_lm_0_9))
           (portRef D (instanceRef k_cpu_addr_9))
          ))
          (net (rename k_cpu_addr_lm_10 "k_cpu_addr_lm[10]") (joined
           (portRef Z (instanceRef k_cpu_addr_lm_0_10))
           (portRef D (instanceRef k_cpu_addr_10))
          ))
          (net (rename k_cpu_addr_lm_11 "k_cpu_addr_lm[11]") (joined
           (portRef Z (instanceRef k_cpu_addr_lm_0_11))
           (portRef D (instanceRef k_cpu_addr_11))
          ))
          (net (rename k_cpu_addr_lm_12 "k_cpu_addr_lm[12]") (joined
           (portRef Z (instanceRef k_cpu_addr_lm_0_12))
           (portRef D (instanceRef k_cpu_addr_12))
          ))
          (net (rename k_cpu_addr_lm_13 "k_cpu_addr_lm[13]") (joined
           (portRef Z (instanceRef k_cpu_addr_lm_0_13))
           (portRef D (instanceRef k_cpu_addr_13))
          ))
          (net (rename k_cpu_addr_lm_14 "k_cpu_addr_lm[14]") (joined
           (portRef Z (instanceRef k_cpu_addr_lm_0_14))
           (portRef D (instanceRef k_cpu_addr_14))
          ))
          (net (rename k_cpu_addr_lm_15 "k_cpu_addr_lm[15]") (joined
           (portRef Z (instanceRef k_cpu_addr_lm_0_15))
           (portRef D (instanceRef k_cpu_addr_15))
          ))
          (net N_1811 (joined
           (portRef N_1811 (instanceRef regs))
           (portRef A (instanceRef k_cpu_addr_lm_0_9))
           (portRef A (instanceRef k_cpu_addr_lm_0_8))
           (portRef A (instanceRef k_cpu_addr_lm_0_7))
           (portRef A (instanceRef k_cpu_addr_lm_0_6))
           (portRef A (instanceRef k_cpu_addr_lm_0_5))
           (portRef A (instanceRef k_cpu_addr_lm_0_4))
           (portRef A (instanceRef k_cpu_addr_lm_0_3))
           (portRef A (instanceRef k_cpu_addr_lm_0_2))
           (portRef A (instanceRef k_cpu_addr_lm_0_1))
           (portRef B (instanceRef k_cpu_addr_lm_0_0))
           (portRef A (instanceRef k_cpu_addr_lm_0_15))
           (portRef A (instanceRef k_cpu_addr_lm_0_14))
           (portRef A (instanceRef k_cpu_addr_lm_0_13))
           (portRef A (instanceRef k_cpu_addr_lm_0_12))
           (portRef A (instanceRef k_cpu_addr_lm_0_11))
           (portRef A (instanceRef k_cpu_addr_lm_0_10))
          ))
          (net (rename k_cpu_addr_s_10 "k_cpu_addr_s[10]") (joined
           (portRef S1 (instanceRef k_cpu_addr_cry_0_9))
           (portRef B (instanceRef k_cpu_addr_lm_0_10))
          ))
          (net (rename k_cpu_addr_26_iv_1_10 "k_cpu_addr_26_iv_1[10]") (joined
           (portRef k_cpu_addr_26_iv_1_8 (instanceRef regs))
           (portRef C (instanceRef k_cpu_addr_lm_0_10))
          ))
          (net (rename regs_o_su_m_10 "regs_o_su_m[10]") (joined
           (portRef regs_o_su_m_8 (instanceRef regs))
           (portRef D (instanceRef k_cpu_addr_lm_0_10))
          ))
          (net (rename k_cpu_addr_s_11 "k_cpu_addr_s[11]") (joined
           (portRef S0 (instanceRef k_cpu_addr_cry_0_11))
           (portRef B (instanceRef k_cpu_addr_lm_0_11))
          ))
          (net (rename k_cpu_addr_26_iv_1_11 "k_cpu_addr_26_iv_1[11]") (joined
           (portRef k_cpu_addr_26_iv_1_9 (instanceRef regs))
           (portRef C (instanceRef k_cpu_addr_lm_0_11))
          ))
          (net (rename regs_o_su_m_11 "regs_o_su_m[11]") (joined
           (portRef regs_o_su_m_9 (instanceRef regs))
           (portRef D (instanceRef k_cpu_addr_lm_0_11))
          ))
          (net (rename k_cpu_addr_26_iv_1_12 "k_cpu_addr_26_iv_1[12]") (joined
           (portRef k_cpu_addr_26_iv_1_11 (instanceRef alu))
           (portRef B (instanceRef k_cpu_addr_lm_0_12))
          ))
          (net (rename k_cpu_addr_s_12 "k_cpu_addr_s[12]") (joined
           (portRef S1 (instanceRef k_cpu_addr_cry_0_11))
           (portRef C (instanceRef k_cpu_addr_lm_0_12))
          ))
          (net (rename regs_o_su_m_12 "regs_o_su_m[12]") (joined
           (portRef regs_o_su_m_11 (instanceRef alu))
           (portRef D (instanceRef k_cpu_addr_lm_0_12))
          ))
          (net (rename k_cpu_addr_s_13 "k_cpu_addr_s[13]") (joined
           (portRef S0 (instanceRef k_cpu_addr_cry_0_13))
           (portRef B (instanceRef k_cpu_addr_lm_0_13))
          ))
          (net (rename k_cpu_addr_26_iv_1_13 "k_cpu_addr_26_iv_1[13]") (joined
           (portRef k_cpu_addr_26_iv_1_11 (instanceRef regs))
           (portRef C (instanceRef k_cpu_addr_lm_0_13))
          ))
          (net (rename regs_o_su_m_13 "regs_o_su_m[13]") (joined
           (portRef regs_o_su_m_11 (instanceRef regs))
           (portRef D (instanceRef k_cpu_addr_lm_0_13))
          ))
          (net (rename k_cpu_addr_26_iv_1_14 "k_cpu_addr_26_iv_1[14]") (joined
           (portRef k_cpu_addr_26_iv_1_13 (instanceRef alu))
           (portRef B (instanceRef k_cpu_addr_lm_0_14))
          ))
          (net (rename k_cpu_addr_s_14 "k_cpu_addr_s[14]") (joined
           (portRef S1 (instanceRef k_cpu_addr_cry_0_13))
           (portRef C (instanceRef k_cpu_addr_lm_0_14))
          ))
          (net (rename regs_o_su_m_14 "regs_o_su_m[14]") (joined
           (portRef regs_o_su_m_13 (instanceRef alu))
           (portRef D (instanceRef k_cpu_addr_lm_0_14))
          ))
          (net (rename k_cpu_addr_26_iv_1_15 "k_cpu_addr_26_iv_1[15]") (joined
           (portRef k_cpu_addr_26_iv_1_14 (instanceRef alu))
           (portRef B (instanceRef k_cpu_addr_lm_0_15))
          ))
          (net (rename k_cpu_addr_s_15 "k_cpu_addr_s[15]") (joined
           (portRef S0 (instanceRef k_cpu_addr_s_0_15))
           (portRef C (instanceRef k_cpu_addr_lm_0_15))
          ))
          (net (rename regs_o_su_m_15 "regs_o_su_m[15]") (joined
           (portRef regs_o_su_m_14 (instanceRef alu))
           (portRef D (instanceRef k_cpu_addr_lm_0_15))
          ))
          (net N_186 (joined
           (portRef N_186 (instanceRef regs))
           (portRef A (instanceRef k_cpu_addr_lm_0_0))
          ))
          (net (rename k_cpu_addr_s_0 "k_cpu_addr_s[0]") (joined
           (portRef S1 (instanceRef k_cpu_addr_cry_0_0))
           (portRef C (instanceRef k_cpu_addr_lm_0_0))
          ))
          (net (rename k_cpu_addr_26_iv_0_1_0 "k_cpu_addr_26_iv_0_1[0]") (joined
           (portRef (member k_cpu_addr_26_iv_0_1 0) (instanceRef regs))
           (portRef D (instanceRef k_cpu_addr_lm_0_0))
          ))
          (net (rename k_cpu_addr_26_iv_1_1 "k_cpu_addr_26_iv_1[1]") (joined
           (portRef k_cpu_addr_26_iv_1_0 (instanceRef alu))
           (portRef B (instanceRef k_cpu_addr_lm_0_1))
          ))
          (net (rename k_cpu_addr_s_1 "k_cpu_addr_s[1]") (joined
           (portRef S0 (instanceRef k_cpu_addr_cry_0_1))
           (portRef C (instanceRef k_cpu_addr_lm_0_1))
          ))
          (net (rename regs_o_su_m_1 "regs_o_su_m[1]") (joined
           (portRef regs_o_su_m_0 (instanceRef alu))
           (portRef D (instanceRef k_cpu_addr_lm_0_1))
          ))
          (net (rename k_cpu_addr_s_2 "k_cpu_addr_s[2]") (joined
           (portRef S1 (instanceRef k_cpu_addr_cry_0_1))
           (portRef B (instanceRef k_cpu_addr_lm_0_2))
          ))
          (net (rename k_cpu_addr_26_iv_1_2 "k_cpu_addr_26_iv_1[2]") (joined
           (portRef k_cpu_addr_26_iv_1_0 (instanceRef regs))
           (portRef C (instanceRef k_cpu_addr_lm_0_2))
          ))
          (net (rename regs_o_su_m_2 "regs_o_su_m[2]") (joined
           (portRef regs_o_su_m_0 (instanceRef regs))
           (portRef D (instanceRef k_cpu_addr_lm_0_2))
          ))
          (net (rename k_cpu_addr_26_iv_1_3 "k_cpu_addr_26_iv_1[3]") (joined
           (portRef k_cpu_addr_26_iv_1_2 (instanceRef alu))
           (portRef B (instanceRef k_cpu_addr_lm_0_3))
          ))
          (net (rename k_cpu_addr_s_3 "k_cpu_addr_s[3]") (joined
           (portRef S0 (instanceRef k_cpu_addr_cry_0_3))
           (portRef C (instanceRef k_cpu_addr_lm_0_3))
          ))
          (net (rename regs_o_su_m_3 "regs_o_su_m[3]") (joined
           (portRef regs_o_su_m_2 (instanceRef alu))
           (portRef D (instanceRef k_cpu_addr_lm_0_3))
          ))
          (net (rename k_cpu_addr_26_iv_1_4 "k_cpu_addr_26_iv_1[4]") (joined
           (portRef k_cpu_addr_26_iv_1_3 (instanceRef alu))
           (portRef B (instanceRef k_cpu_addr_lm_0_4))
          ))
          (net (rename k_cpu_addr_s_4 "k_cpu_addr_s[4]") (joined
           (portRef S1 (instanceRef k_cpu_addr_cry_0_3))
           (portRef C (instanceRef k_cpu_addr_lm_0_4))
          ))
          (net (rename regs_o_su_m_4 "regs_o_su_m[4]") (joined
           (portRef regs_o_su_m_3 (instanceRef alu))
           (portRef D (instanceRef k_cpu_addr_lm_0_4))
          ))
          (net (rename k_cpu_addr_s_5 "k_cpu_addr_s[5]") (joined
           (portRef S0 (instanceRef k_cpu_addr_cry_0_5))
           (portRef B (instanceRef k_cpu_addr_lm_0_5))
          ))
          (net (rename k_cpu_addr_26_iv_1_5 "k_cpu_addr_26_iv_1[5]") (joined
           (portRef k_cpu_addr_26_iv_1_3 (instanceRef regs))
           (portRef C (instanceRef k_cpu_addr_lm_0_5))
          ))
          (net (rename regs_o_su_m_5 "regs_o_su_m[5]") (joined
           (portRef regs_o_su_m_3 (instanceRef regs))
           (portRef D (instanceRef k_cpu_addr_lm_0_5))
          ))
          (net (rename k_cpu_addr_26_iv_1_6 "k_cpu_addr_26_iv_1[6]") (joined
           (portRef k_cpu_addr_26_iv_1_5 (instanceRef alu))
           (portRef B (instanceRef k_cpu_addr_lm_0_6))
          ))
          (net (rename k_cpu_addr_s_6 "k_cpu_addr_s[6]") (joined
           (portRef S1 (instanceRef k_cpu_addr_cry_0_5))
           (portRef C (instanceRef k_cpu_addr_lm_0_6))
          ))
          (net (rename regs_o_su_m_6 "regs_o_su_m[6]") (joined
           (portRef regs_o_su_m_5 (instanceRef alu))
           (portRef D (instanceRef k_cpu_addr_lm_0_6))
          ))
          (net (rename k_cpu_addr_26_iv_1_7 "k_cpu_addr_26_iv_1[7]") (joined
           (portRef k_cpu_addr_26_iv_1_6 (instanceRef alu))
           (portRef B (instanceRef k_cpu_addr_lm_0_7))
          ))
          (net (rename k_cpu_addr_s_7 "k_cpu_addr_s[7]") (joined
           (portRef S0 (instanceRef k_cpu_addr_cry_0_7))
           (portRef C (instanceRef k_cpu_addr_lm_0_7))
          ))
          (net (rename regs_o_su_m_7 "regs_o_su_m[7]") (joined
           (portRef regs_o_su_m_6 (instanceRef alu))
           (portRef D (instanceRef k_cpu_addr_lm_0_7))
          ))
          (net (rename k_cpu_addr_26_iv_1_8 "k_cpu_addr_26_iv_1[8]") (joined
           (portRef k_cpu_addr_26_iv_1_7 (instanceRef alu))
           (portRef B (instanceRef k_cpu_addr_lm_0_8))
          ))
          (net (rename k_cpu_addr_s_8 "k_cpu_addr_s[8]") (joined
           (portRef S1 (instanceRef k_cpu_addr_cry_0_7))
           (portRef C (instanceRef k_cpu_addr_lm_0_8))
          ))
          (net (rename regs_o_su_m_8 "regs_o_su_m[8]") (joined
           (portRef regs_o_su_m_7 (instanceRef alu))
           (portRef D (instanceRef k_cpu_addr_lm_0_8))
          ))
          (net (rename k_cpu_addr_s_9 "k_cpu_addr_s[9]") (joined
           (portRef S0 (instanceRef k_cpu_addr_cry_0_9))
           (portRef B (instanceRef k_cpu_addr_lm_0_9))
          ))
          (net (rename k_cpu_addr_26_iv_1_9 "k_cpu_addr_26_iv_1[9]") (joined
           (portRef k_cpu_addr_26_iv_1_7 (instanceRef regs))
           (portRef C (instanceRef k_cpu_addr_lm_0_9))
          ))
          (net (rename regs_o_su_m_9 "regs_o_su_m[9]") (joined
           (portRef regs_o_su_m_7 (instanceRef regs))
           (portRef D (instanceRef k_cpu_addr_lm_0_9))
          ))
          (net (rename state_o_c_5 "state_o_c[5]") (joined
           (portRef Q (instanceRef state_5))
           (portRef (member state_o_c 0) (instanceRef dec_regs))
           (portRef (member state_o_c 0) (instanceRef regs))
           (portRef (member state_o_c 0) (instanceRef alu))
           (portRef D (instanceRef state_RNI8MMJ1_2))
           (portRef D (instanceRef state_RNIQV9B1_3))
           (portRef B (instanceRef state_RNI7S063_5))
           (portRef (member state_o_c 0))
          ))
          (net GND (joined
           (portRef Z (instanceRef GND))
           (portRef D1 (instanceRef k_cpu_addr_cry_0_0))
           (portRef C1 (instanceRef k_cpu_addr_cry_0_0))
           (portRef B1 (instanceRef k_cpu_addr_cry_0_0))
           (portRef D0 (instanceRef k_cpu_addr_cry_0_0))
           (portRef C0 (instanceRef k_cpu_addr_cry_0_0))
           (portRef A0 (instanceRef k_cpu_addr_cry_0_0))
           (portRef D1 (instanceRef k_cpu_addr_cry_0_1))
           (portRef C1 (instanceRef k_cpu_addr_cry_0_1))
           (portRef B1 (instanceRef k_cpu_addr_cry_0_1))
           (portRef D0 (instanceRef k_cpu_addr_cry_0_1))
           (portRef C0 (instanceRef k_cpu_addr_cry_0_1))
           (portRef B0 (instanceRef k_cpu_addr_cry_0_1))
           (portRef D1 (instanceRef k_cpu_addr_cry_0_3))
           (portRef C1 (instanceRef k_cpu_addr_cry_0_3))
           (portRef B1 (instanceRef k_cpu_addr_cry_0_3))
           (portRef D0 (instanceRef k_cpu_addr_cry_0_3))
           (portRef C0 (instanceRef k_cpu_addr_cry_0_3))
           (portRef B0 (instanceRef k_cpu_addr_cry_0_3))
           (portRef D1 (instanceRef k_cpu_addr_cry_0_5))
           (portRef C1 (instanceRef k_cpu_addr_cry_0_5))
           (portRef B1 (instanceRef k_cpu_addr_cry_0_5))
           (portRef D0 (instanceRef k_cpu_addr_cry_0_5))
           (portRef C0 (instanceRef k_cpu_addr_cry_0_5))
           (portRef B0 (instanceRef k_cpu_addr_cry_0_5))
           (portRef D1 (instanceRef k_cpu_addr_cry_0_7))
           (portRef C1 (instanceRef k_cpu_addr_cry_0_7))
           (portRef B1 (instanceRef k_cpu_addr_cry_0_7))
           (portRef D0 (instanceRef k_cpu_addr_cry_0_7))
           (portRef C0 (instanceRef k_cpu_addr_cry_0_7))
           (portRef B0 (instanceRef k_cpu_addr_cry_0_7))
           (portRef D1 (instanceRef k_cpu_addr_cry_0_9))
           (portRef C1 (instanceRef k_cpu_addr_cry_0_9))
           (portRef B1 (instanceRef k_cpu_addr_cry_0_9))
           (portRef D0 (instanceRef k_cpu_addr_cry_0_9))
           (portRef C0 (instanceRef k_cpu_addr_cry_0_9))
           (portRef B0 (instanceRef k_cpu_addr_cry_0_9))
           (portRef D1 (instanceRef k_cpu_addr_cry_0_11))
           (portRef C1 (instanceRef k_cpu_addr_cry_0_11))
           (portRef B1 (instanceRef k_cpu_addr_cry_0_11))
           (portRef D0 (instanceRef k_cpu_addr_cry_0_11))
           (portRef C0 (instanceRef k_cpu_addr_cry_0_11))
           (portRef B0 (instanceRef k_cpu_addr_cry_0_11))
           (portRef D1 (instanceRef k_cpu_addr_cry_0_13))
           (portRef C1 (instanceRef k_cpu_addr_cry_0_13))
           (portRef B1 (instanceRef k_cpu_addr_cry_0_13))
           (portRef D0 (instanceRef k_cpu_addr_cry_0_13))
           (portRef C0 (instanceRef k_cpu_addr_cry_0_13))
           (portRef B0 (instanceRef k_cpu_addr_cry_0_13))
           (portRef D1 (instanceRef k_cpu_addr_s_0_15))
           (portRef C1 (instanceRef k_cpu_addr_s_0_15))
           (portRef B1 (instanceRef k_cpu_addr_s_0_15))
           (portRef A1 (instanceRef k_cpu_addr_s_0_15))
           (portRef D0 (instanceRef k_cpu_addr_s_0_15))
           (portRef C0 (instanceRef k_cpu_addr_s_0_15))
           (portRef B0 (instanceRef k_cpu_addr_s_0_15))
           (portRef D1 (instanceRef un1_regs_o_pc_cry_0_0))
           (portRef C1 (instanceRef un1_regs_o_pc_cry_0_0))
           (portRef D0 (instanceRef un1_regs_o_pc_cry_0_0))
           (portRef C0 (instanceRef un1_regs_o_pc_cry_0_0))
           (portRef B0 (instanceRef un1_regs_o_pc_cry_0_0))
           (portRef A0 (instanceRef un1_regs_o_pc_cry_0_0))
           (portRef D1 (instanceRef un1_regs_o_pc_cry_1_0))
           (portRef C1 (instanceRef un1_regs_o_pc_cry_1_0))
           (portRef D0 (instanceRef un1_regs_o_pc_cry_1_0))
           (portRef C0 (instanceRef un1_regs_o_pc_cry_1_0))
           (portRef D1 (instanceRef un1_regs_o_pc_cry_3_0))
           (portRef C1 (instanceRef un1_regs_o_pc_cry_3_0))
           (portRef D0 (instanceRef un1_regs_o_pc_cry_3_0))
           (portRef C0 (instanceRef un1_regs_o_pc_cry_3_0))
           (portRef D1 (instanceRef un1_regs_o_pc_cry_5_0))
           (portRef C1 (instanceRef un1_regs_o_pc_cry_5_0))
           (portRef D0 (instanceRef un1_regs_o_pc_cry_5_0))
           (portRef C0 (instanceRef un1_regs_o_pc_cry_5_0))
           (portRef D1 (instanceRef un1_regs_o_pc_cry_7_0))
           (portRef C1 (instanceRef un1_regs_o_pc_cry_7_0))
           (portRef D0 (instanceRef un1_regs_o_pc_cry_7_0))
           (portRef C0 (instanceRef un1_regs_o_pc_cry_7_0))
           (portRef D1 (instanceRef un1_regs_o_pc_cry_9_0))
           (portRef C1 (instanceRef un1_regs_o_pc_cry_9_0))
           (portRef D0 (instanceRef un1_regs_o_pc_cry_9_0))
           (portRef C0 (instanceRef un1_regs_o_pc_cry_9_0))
           (portRef D1 (instanceRef un1_regs_o_pc_cry_11_0))
           (portRef C1 (instanceRef un1_regs_o_pc_cry_11_0))
           (portRef D0 (instanceRef un1_regs_o_pc_cry_11_0))
           (portRef C0 (instanceRef un1_regs_o_pc_cry_11_0))
           (portRef D1 (instanceRef un1_regs_o_pc_cry_13_0))
           (portRef C1 (instanceRef un1_regs_o_pc_cry_13_0))
           (portRef D0 (instanceRef un1_regs_o_pc_cry_13_0))
           (portRef C0 (instanceRef un1_regs_o_pc_cry_13_0))
           (portRef D1 (instanceRef un1_regs_o_pc_s_15_0))
           (portRef C1 (instanceRef un1_regs_o_pc_s_15_0))
           (portRef B1 (instanceRef un1_regs_o_pc_s_15_0))
           (portRef A1 (instanceRef un1_regs_o_pc_s_15_0))
           (portRef D0 (instanceRef un1_regs_o_pc_s_15_0))
           (portRef C0 (instanceRef un1_regs_o_pc_s_15_0))
          ))
          (net un70_c4 (joined
           (portRef Z (instanceRef un70_c4))
           (portRef un70_c4 (instanceRef regs))
          ))
          (net state182 (joined
           (portRef Z (instanceRef state182_c4))
           (portRef state182 (instanceRef regs))
          ))
          (net (rename state_o_c_4 "state_o_c[4]") (joined
           (portRef Q (instanceRef state_4))
           (portRef (member state_o_c 1) (instanceRef dec_regs))
           (portRef (member state_o_c 1) (instanceRef regs))
           (portRef (member state_o_c 1) (instanceRef alu))
           (portRef C (instanceRef state_RNI8MMJ1_2))
           (portRef C (instanceRef state_RNIQV9B1_3))
           (portRef (member state_o_c 1))
          ))
          (net (rename state_cnv_0_0 "state_cnv_0[0]") (joined
           (portRef Z (instanceRef state_RNIQV9B1_3))
           (portRef (member state_cnv_0 0) (instanceRef regs))
          ))
          (net cpu_clk (joined
           (portRef cpu_clk)
           (portRef cpu_clk (instanceRef dec_op))
           (portRef cpu_clk (instanceRef dec_regs))
           (portRef cpu_clk (instanceRef regs))
           (portRef cpu_clk (instanceRef alu))
           (portRef A (instanceRef state_RNIGEEH_0))
           (portRef A (instanceRef state_RNIQV9B1_3))
          ))
          (net (rename state_o_c_0 "state_o_c[0]") (joined
           (portRef Q (instanceRef state_0))
           (portRef (member state_o_c 5) (instanceRef dec_regs))
           (portRef (member state_o_c 5) (instanceRef regs))
           (portRef (member state_o_c 5) (instanceRef alu))
           (portRef B (instanceRef state_RNIGEEH_0))
           (portRef (member state_o_c 5))
          ))
          (net (rename k_ind_ea_cnv_0_0 "k_ind_ea_cnv_0[0]") (joined
           (portRef Z (instanceRef state_RNIGEEH_0))
           (portRef (member k_ind_ea_cnv_0 0) (instanceRef regs))
          ))
          (net (rename state_o_c_1 "state_o_c[1]") (joined
           (portRef Q (instanceRef state_1))
           (portRef (member state_o_c 4) (instanceRef dec_regs))
           (portRef (member state_o_c 4) (instanceRef regs))
           (portRef (member state_o_c 4) (instanceRef alu))
           (portRef A (instanceRef state_RNI8MMJ1_2))
           (portRef (member state_o_c 4))
          ))
          (net (rename state_o_c_2 "state_o_c[2]") (joined
           (portRef Q (instanceRef state_2))
           (portRef (member state_o_c 3) (instanceRef dec_regs))
           (portRef (member state_o_c 3) (instanceRef regs))
           (portRef (member state_o_c 3) (instanceRef alu))
           (portRef B (instanceRef state_RNI8MMJ1_2))
           (portRef (member state_o_c 3))
          ))
          (net (rename k_pp_regs_cnv_4_1_0 "k_pp_regs_cnv_4_1[0]") (joined
           (portRef Z (instanceRef state_RNI8MMJ1_2))
           (portRef (member k_pp_regs_cnv_4_1 0) (instanceRef dec_regs))
          ))
          (net (rename un1_k_memlo_15 "un1_k_memlo[15]") (joined
           (portRef (member un1_k_memlo 0) (instanceRef alu))
           (portRef B0 (instanceRef un1_regs_o_pc_s_15_0))
          ))
          (net un1_regs_o_pc_cry_14 (joined
           (portRef COUT (instanceRef un1_regs_o_pc_cry_13_0))
           (portRef CIN (instanceRef un1_regs_o_pc_s_15_0))
          ))
          (net un1_regs_o_pc_s_15_0_COUT (joined
           (portRef COUT (instanceRef un1_regs_o_pc_s_15_0))
          ))
          (net (rename un1_regs_o_pc_15 "un1_regs_o_pc[15]") (joined
           (portRef S0 (instanceRef un1_regs_o_pc_s_15_0))
           (portRef un1_regs_o_pc_12 (instanceRef alu))
          ))
          (net un1_regs_o_pc_s_15_0_S1 (joined
           (portRef S1 (instanceRef un1_regs_o_pc_s_15_0))
          ))
          (net (rename un1_k_memlo_13 "un1_k_memlo[13]") (joined
           (portRef (member un1_k_memlo 2) (instanceRef alu))
           (portRef B0 (instanceRef un1_regs_o_pc_cry_13_0))
          ))
          (net (rename un1_k_memlo_14 "un1_k_memlo[14]") (joined
           (portRef (member un1_k_memlo 1) (instanceRef alu))
           (portRef B1 (instanceRef un1_regs_o_pc_cry_13_0))
          ))
          (net un1_regs_o_pc_cry_12 (joined
           (portRef COUT (instanceRef un1_regs_o_pc_cry_11_0))
           (portRef CIN (instanceRef un1_regs_o_pc_cry_13_0))
          ))
          (net (rename un1_regs_o_pc_13 "un1_regs_o_pc[13]") (joined
           (portRef S0 (instanceRef un1_regs_o_pc_cry_13_0))
           (portRef un1_regs_o_pc_12 (instanceRef regs))
          ))
          (net (rename un1_regs_o_pc_14 "un1_regs_o_pc[14]") (joined
           (portRef S1 (instanceRef un1_regs_o_pc_cry_13_0))
           (portRef un1_regs_o_pc_11 (instanceRef alu))
          ))
          (net (rename un1_k_memlo_11 "un1_k_memlo[11]") (joined
           (portRef (member un1_k_memlo 4) (instanceRef alu))
           (portRef B0 (instanceRef un1_regs_o_pc_cry_11_0))
          ))
          (net (rename un1_k_memlo_12 "un1_k_memlo[12]") (joined
           (portRef (member un1_k_memlo 3) (instanceRef alu))
           (portRef B1 (instanceRef un1_regs_o_pc_cry_11_0))
          ))
          (net un1_regs_o_pc_cry_10 (joined
           (portRef COUT (instanceRef un1_regs_o_pc_cry_9_0))
           (portRef CIN (instanceRef un1_regs_o_pc_cry_11_0))
          ))
          (net (rename un1_regs_o_pc_11 "un1_regs_o_pc[11]") (joined
           (portRef S0 (instanceRef un1_regs_o_pc_cry_11_0))
           (portRef un1_regs_o_pc_10 (instanceRef regs))
          ))
          (net (rename un1_regs_o_pc_12 "un1_regs_o_pc[12]") (joined
           (portRef S1 (instanceRef un1_regs_o_pc_cry_11_0))
           (portRef un1_regs_o_pc_9 (instanceRef alu))
          ))
          (net (rename un1_k_memlo_9 "un1_k_memlo[9]") (joined
           (portRef (member un1_k_memlo 6) (instanceRef alu))
           (portRef B0 (instanceRef un1_regs_o_pc_cry_9_0))
          ))
          (net (rename un1_k_memlo_10 "un1_k_memlo[10]") (joined
           (portRef (member un1_k_memlo 5) (instanceRef alu))
           (portRef B1 (instanceRef un1_regs_o_pc_cry_9_0))
          ))
          (net un1_regs_o_pc_cry_8 (joined
           (portRef COUT (instanceRef un1_regs_o_pc_cry_7_0))
           (portRef CIN (instanceRef un1_regs_o_pc_cry_9_0))
          ))
          (net (rename un1_regs_o_pc_9 "un1_regs_o_pc[9]") (joined
           (portRef S0 (instanceRef un1_regs_o_pc_cry_9_0))
           (portRef un1_regs_o_pc_8 (instanceRef regs))
          ))
          (net (rename un1_regs_o_pc_10 "un1_regs_o_pc[10]") (joined
           (portRef S1 (instanceRef un1_regs_o_pc_cry_9_0))
           (portRef un1_regs_o_pc_9 (instanceRef regs))
          ))
          (net (rename un1_k_memlo_8 "un1_k_memlo[8]") (joined
           (portRef (member un1_k_memlo 7) (instanceRef alu))
           (portRef B1 (instanceRef un1_regs_o_pc_cry_7_0))
          ))
          (net un1_regs_o_pc_cry_6 (joined
           (portRef COUT (instanceRef un1_regs_o_pc_cry_5_0))
           (portRef CIN (instanceRef un1_regs_o_pc_cry_7_0))
          ))
          (net (rename un1_regs_o_pc_7 "un1_regs_o_pc[7]") (joined
           (portRef S0 (instanceRef un1_regs_o_pc_cry_7_0))
           (portRef un1_regs_o_pc_4 (instanceRef alu))
          ))
          (net (rename un1_regs_o_pc_8 "un1_regs_o_pc[8]") (joined
           (portRef S1 (instanceRef un1_regs_o_pc_cry_7_0))
           (portRef un1_regs_o_pc_5 (instanceRef alu))
          ))
          (net un1_regs_o_pc_cry_4 (joined
           (portRef COUT (instanceRef un1_regs_o_pc_cry_3_0))
           (portRef CIN (instanceRef un1_regs_o_pc_cry_5_0))
          ))
          (net (rename un1_regs_o_pc_5 "un1_regs_o_pc[5]") (joined
           (portRef S0 (instanceRef un1_regs_o_pc_cry_5_0))
           (portRef un1_regs_o_pc_4 (instanceRef regs))
          ))
          (net (rename un1_regs_o_pc_6 "un1_regs_o_pc[6]") (joined
           (portRef S1 (instanceRef un1_regs_o_pc_cry_5_0))
           (portRef un1_regs_o_pc_5 (instanceRef regs))
          ))
          (net un1_regs_o_pc_cry_2 (joined
           (portRef COUT (instanceRef un1_regs_o_pc_cry_1_0))
           (portRef CIN (instanceRef un1_regs_o_pc_cry_3_0))
          ))
          (net (rename un1_regs_o_pc_3 "un1_regs_o_pc[3]") (joined
           (portRef S0 (instanceRef un1_regs_o_pc_cry_3_0))
           (portRef un1_regs_o_pc_0 (instanceRef alu))
          ))
          (net (rename un1_regs_o_pc_4 "un1_regs_o_pc[4]") (joined
           (portRef S1 (instanceRef un1_regs_o_pc_cry_3_0))
           (portRef un1_regs_o_pc_3 (instanceRef regs))
          ))
          (net un1_regs_o_pc_cry_0 (joined
           (portRef COUT (instanceRef un1_regs_o_pc_cry_0_0))
           (portRef CIN (instanceRef un1_regs_o_pc_cry_1_0))
          ))
          (net (rename un1_regs_o_pc_1 "un1_regs_o_pc[1]") (joined
           (portRef S0 (instanceRef un1_regs_o_pc_cry_1_0))
           (portRef un1_regs_o_pc_0 (instanceRef regs))
          ))
          (net (rename un1_regs_o_pc_2 "un1_regs_o_pc[2]") (joined
           (portRef S1 (instanceRef un1_regs_o_pc_cry_1_0))
           (portRef un1_regs_o_pc_1 (instanceRef regs))
          ))
          (net un1_regs_o_pc_cry_0_0_S0 (joined
           (portRef S0 (instanceRef un1_regs_o_pc_cry_0_0))
          ))
          (net un1_regs_o_pc_cry_0_0_S1 (joined
           (portRef S1 (instanceRef un1_regs_o_pc_cry_0_0))
          ))
          (net (rename addr_o_c_15 "addr_o_c[15]") (joined
           (portRef Q (instanceRef k_cpu_addr_15))
           (portRef A0 (instanceRef k_cpu_addr_s_0_15))
           (portRef (member addr_o_c 0))
          ))
          (net (rename k_cpu_addr_cry_14 "k_cpu_addr_cry[14]") (joined
           (portRef COUT (instanceRef k_cpu_addr_cry_0_13))
           (portRef CIN (instanceRef k_cpu_addr_s_0_15))
          ))
          (net (rename k_cpu_addr_s_0_COUT_15 "k_cpu_addr_s_0_COUT[15]") (joined
           (portRef COUT (instanceRef k_cpu_addr_s_0_15))
          ))
          (net (rename k_cpu_addr_s_0_S1_15 "k_cpu_addr_s_0_S1[15]") (joined
           (portRef S1 (instanceRef k_cpu_addr_s_0_15))
          ))
          (net (rename addr_o_c_13 "addr_o_c[13]") (joined
           (portRef Q (instanceRef k_cpu_addr_13))
           (portRef A0 (instanceRef k_cpu_addr_cry_0_13))
           (portRef (member addr_o_c 2))
          ))
          (net (rename addr_o_c_14 "addr_o_c[14]") (joined
           (portRef Q (instanceRef k_cpu_addr_14))
           (portRef A1 (instanceRef k_cpu_addr_cry_0_13))
           (portRef (member addr_o_c 1))
          ))
          (net (rename k_cpu_addr_cry_12 "k_cpu_addr_cry[12]") (joined
           (portRef COUT (instanceRef k_cpu_addr_cry_0_11))
           (portRef CIN (instanceRef k_cpu_addr_cry_0_13))
          ))
          (net (rename addr_o_c_11 "addr_o_c[11]") (joined
           (portRef Q (instanceRef k_cpu_addr_11))
           (portRef A0 (instanceRef k_cpu_addr_cry_0_11))
           (portRef (member addr_o_c 4))
          ))
          (net (rename addr_o_c_12 "addr_o_c[12]") (joined
           (portRef Q (instanceRef k_cpu_addr_12))
           (portRef A1 (instanceRef k_cpu_addr_cry_0_11))
           (portRef (member addr_o_c 3))
          ))
          (net (rename k_cpu_addr_cry_10 "k_cpu_addr_cry[10]") (joined
           (portRef COUT (instanceRef k_cpu_addr_cry_0_9))
           (portRef CIN (instanceRef k_cpu_addr_cry_0_11))
          ))
          (net (rename addr_o_c_9 "addr_o_c[9]") (joined
           (portRef Q (instanceRef k_cpu_addr_9))
           (portRef A0 (instanceRef k_cpu_addr_cry_0_9))
           (portRef (member addr_o_c 6))
          ))
          (net (rename addr_o_c_10 "addr_o_c[10]") (joined
           (portRef Q (instanceRef k_cpu_addr_10))
           (portRef A1 (instanceRef k_cpu_addr_cry_0_9))
           (portRef (member addr_o_c 5))
          ))
          (net (rename k_cpu_addr_cry_8 "k_cpu_addr_cry[8]") (joined
           (portRef COUT (instanceRef k_cpu_addr_cry_0_7))
           (portRef CIN (instanceRef k_cpu_addr_cry_0_9))
          ))
          (net (rename addr_o_c_7 "addr_o_c[7]") (joined
           (portRef Q (instanceRef k_cpu_addr_7))
           (portRef A0 (instanceRef k_cpu_addr_cry_0_7))
           (portRef (member addr_o_c 8))
          ))
          (net (rename addr_o_c_8 "addr_o_c[8]") (joined
           (portRef Q (instanceRef k_cpu_addr_8))
           (portRef A1 (instanceRef k_cpu_addr_cry_0_7))
           (portRef (member addr_o_c 7))
          ))
          (net (rename k_cpu_addr_cry_6 "k_cpu_addr_cry[6]") (joined
           (portRef COUT (instanceRef k_cpu_addr_cry_0_5))
           (portRef CIN (instanceRef k_cpu_addr_cry_0_7))
          ))
          (net (rename addr_o_c_5 "addr_o_c[5]") (joined
           (portRef Q (instanceRef k_cpu_addr_5))
           (portRef A0 (instanceRef k_cpu_addr_cry_0_5))
           (portRef (member addr_o_c 10))
          ))
          (net (rename addr_o_c_6 "addr_o_c[6]") (joined
           (portRef Q (instanceRef k_cpu_addr_6))
           (portRef A1 (instanceRef k_cpu_addr_cry_0_5))
           (portRef (member addr_o_c 9))
          ))
          (net (rename k_cpu_addr_cry_4 "k_cpu_addr_cry[4]") (joined
           (portRef COUT (instanceRef k_cpu_addr_cry_0_3))
           (portRef CIN (instanceRef k_cpu_addr_cry_0_5))
          ))
          (net (rename addr_o_c_3 "addr_o_c[3]") (joined
           (portRef Q (instanceRef k_cpu_addr_3))
           (portRef A0 (instanceRef k_cpu_addr_cry_0_3))
           (portRef (member addr_o_c 12))
          ))
          (net (rename addr_o_c_4 "addr_o_c[4]") (joined
           (portRef Q (instanceRef k_cpu_addr_4))
           (portRef A1 (instanceRef k_cpu_addr_cry_0_3))
           (portRef (member addr_o_c 11))
          ))
          (net (rename k_cpu_addr_cry_2 "k_cpu_addr_cry[2]") (joined
           (portRef COUT (instanceRef k_cpu_addr_cry_0_1))
           (portRef CIN (instanceRef k_cpu_addr_cry_0_3))
          ))
          (net (rename addr_o_c_1 "addr_o_c[1]") (joined
           (portRef Q (instanceRef k_cpu_addr_1))
           (portRef A0 (instanceRef k_cpu_addr_cry_0_1))
           (portRef (member addr_o_c 14))
          ))
          (net (rename addr_o_c_2 "addr_o_c[2]") (joined
           (portRef Q (instanceRef k_cpu_addr_2))
           (portRef A1 (instanceRef k_cpu_addr_cry_0_1))
           (portRef (member addr_o_c 13))
          ))
          (net (rename k_cpu_addr_cry_0 "k_cpu_addr_cry[0]") (joined
           (portRef COUT (instanceRef k_cpu_addr_cry_0_0))
           (portRef CIN (instanceRef k_cpu_addr_cry_0_1))
          ))
          (net (rename addr_o_c_0 "addr_o_c[0]") (joined
           (portRef Q (instanceRef k_cpu_addr_0))
           (portRef A1 (instanceRef k_cpu_addr_cry_0_0))
           (portRef (member addr_o_c 15))
          ))
          (net (rename k_cpu_addr_cry_0_S0_0 "k_cpu_addr_cry_0_S0[0]") (joined
           (portRef S0 (instanceRef k_cpu_addr_cry_0_0))
          ))
          (net (rename sbc8_w_8 "sbc8_w[8]") (joined
           (portRef sbc8_w_8 (instanceRef alu))
           (portRef (member sbc8_w 0) (instanceRef regs))
          ))
          (net (rename regs_o_CCR_i_0 "regs_o_CCR_i[0]") (joined
           (portRef (member regs_o_ccr_i 0) (instanceRef regs))
           (portRef (member regs_o_ccr_i 0) (instanceRef alu))
          ))
          (net (rename sbc16_w_16 "sbc16_w[16]") (joined
           (portRef sbc16_w_16 (instanceRef alu))
           (portRef (member sbc16_w 0) (instanceRef regs))
          ))
          (net (rename add8_w_8 "add8_w[8]") (joined
           (portRef add8_w_8 (instanceRef alu))
           (portRef (member add8_w 0) (instanceRef regs))
          ))
          (net (rename sub8_w_8 "sub8_w[8]") (joined
           (portRef (member sub8_w 0) (instanceRef alu))
           (portRef (member sub8_w 0) (instanceRef regs))
          ))
          (net (rename ACCA_1 "ACCA[1]") (joined
           (portRef ACCA_1 (instanceRef regs))
           (portRef (member acca 0) (instanceRef alu))
          ))
          (net (rename path_right_addr_4_0_o2_1_RNI18U71_0 "path_right_addr_4_0_o2_1_RNI18U71[0]") (joined
           (portRef (member path_right_addr_4_0_o2_1_rni18u71 0) (instanceRef dec_regs))
           (portRef (member path_right_addr_4_0_o2_1_rni18u71 0) (instanceRef alu))
          ))
          (net (rename ACCB_1 "ACCB[1]") (joined
           (portRef ACCB_1 (instanceRef regs))
           (portRef (member accb 0) (instanceRef alu))
          ))
          (net (rename leds_r_cnv_0 "leds_r_cnv[0]") (joined
           (portRef (member leds_r_cnv 0) (instanceRef alu))
           (portRef (member leds_r_cnv 0))
          ))
          (net (rename data_io_c_0 "data_io_c[0]") (joined
           (portRef (member data_io_c 7) (instanceRef alu))
           (portRef (member data_io_c 7))
          ))
          (net (rename data_io_c_1 "data_io_c[1]") (joined
           (portRef (member data_io_c 6) (instanceRef alu))
           (portRef (member data_io_c 6))
          ))
          (net (rename data_io_c_2 "data_io_c[2]") (joined
           (portRef (member data_io_c 5) (instanceRef alu))
           (portRef (member data_io_c 5))
          ))
          (net (rename data_io_c_3 "data_io_c[3]") (joined
           (portRef (member data_io_c 4) (instanceRef alu))
           (portRef (member data_io_c 4))
          ))
          (net (rename data_io_c_4 "data_io_c[4]") (joined
           (portRef (member data_io_c 3) (instanceRef alu))
           (portRef (member data_io_c 3))
          ))
          (net (rename data_io_c_5 "data_io_c[5]") (joined
           (portRef (member data_io_c 2) (instanceRef alu))
           (portRef (member data_io_c 2))
          ))
          (net (rename data_io_c_6 "data_io_c[6]") (joined
           (portRef (member data_io_c 1) (instanceRef alu))
           (portRef (member data_io_c 1))
          ))
          (net (rename data_io_c_7 "data_io_c[7]") (joined
           (portRef (member data_io_c 0) (instanceRef alu))
           (portRef (member data_io_c 0))
          ))
          (net (rename cpu0_data_out_0 "cpu0_data_out[0]") (joined
           (portRef Q (instanceRef k_cpu_data_o_0))
           (portRef (member cpu0_data_out 7) (instanceRef alu))
           (portRef (member cpu0_data_out 7))
          ))
          (net (rename cpu0_data_out_1 "cpu0_data_out[1]") (joined
           (portRef Q (instanceRef k_cpu_data_o_1))
           (portRef (member cpu0_data_out 6) (instanceRef alu))
           (portRef (member cpu0_data_out 6))
          ))
          (net (rename cpu0_data_out_2 "cpu0_data_out[2]") (joined
           (portRef Q (instanceRef k_cpu_data_o_2))
           (portRef (member cpu0_data_out 5) (instanceRef alu))
           (portRef (member cpu0_data_out 5))
          ))
          (net (rename cpu0_data_out_3 "cpu0_data_out[3]") (joined
           (portRef Q (instanceRef k_cpu_data_o_3))
           (portRef (member cpu0_data_out 4) (instanceRef alu))
           (portRef (member cpu0_data_out 4))
          ))
          (net (rename cpu0_data_out_4 "cpu0_data_out[4]") (joined
           (portRef Q (instanceRef k_cpu_data_o_4))
           (portRef (member cpu0_data_out 3) (instanceRef alu))
           (portRef (member cpu0_data_out 3))
          ))
          (net (rename cpu0_data_out_5 "cpu0_data_out[5]") (joined
           (portRef Q (instanceRef k_cpu_data_o_5))
           (portRef (member cpu0_data_out 2) (instanceRef alu))
           (portRef (member cpu0_data_out 2))
          ))
          (net (rename cpu0_data_out_6 "cpu0_data_out[6]") (joined
           (portRef Q (instanceRef k_cpu_data_o_6))
           (portRef (member cpu0_data_out 1) (instanceRef alu))
           (portRef (member cpu0_data_out 1))
          ))
          (net (rename cpu0_data_out_7 "cpu0_data_out[7]") (joined
           (portRef Q (instanceRef k_cpu_data_o_7))
           (portRef (member cpu0_data_out 0) (instanceRef alu))
           (portRef (member cpu0_data_out 0))
          ))
          (net (rename reset_cnt_1_1 "reset_cnt_1[1]") (joined
           (portRef (member reset_cnt_1 2) (instanceRef alu))
           (portRef (member reset_cnt_1 2))
          ))
          (net (rename reset_cnt_1_2 "reset_cnt_1[2]") (joined
           (portRef (member reset_cnt_1 1) (instanceRef alu))
           (portRef (member reset_cnt_1 1))
          ))
          (net (rename reset_cnt_1_3 "reset_cnt_1[3]") (joined
           (portRef (member reset_cnt_1 0) (instanceRef alu))
           (portRef (member reset_cnt_1 0))
          ))
          (net (rename state55_3_0 "state55_3[0]") (joined
           (portRef (member state55_3 0) (instanceRef alu))
           (portRef (member state55_3 0) (instanceRef dec_op))
           (portRef (member state55_3 0) (instanceRef regs))
          ))
          (net (rename k_new_pc_15 "k_new_pc[15]") (joined
           (portRef k_new_pc_12 (instanceRef alu))
           (portRef k_new_pc_14 (instanceRef regs))
          ))
          (net (rename k_new_pc_14 "k_new_pc[14]") (joined
           (portRef k_new_pc_11 (instanceRef alu))
           (portRef k_new_pc_13 (instanceRef regs))
          ))
          (net (rename k_new_pc_12 "k_new_pc[12]") (joined
           (portRef k_new_pc_9 (instanceRef alu))
           (portRef k_new_pc_11 (instanceRef regs))
          ))
          (net (rename k_new_pc_8 "k_new_pc[8]") (joined
           (portRef k_new_pc_5 (instanceRef alu))
           (portRef k_new_pc_7 (instanceRef regs))
          ))
          (net (rename k_new_pc_7 "k_new_pc[7]") (joined
           (portRef k_new_pc_4 (instanceRef alu))
           (portRef k_new_pc_6 (instanceRef regs))
          ))
          (net (rename k_new_pc_3 "k_new_pc[3]") (joined
           (portRef k_new_pc_0 (instanceRef alu))
           (portRef k_new_pc_2 (instanceRef regs))
          ))
          (net (rename path_right_addr_2_f0_0_o2_0_3 "path_right_addr_2_f0_0_o2_0[3]") (joined
           (portRef (member path_right_addr_2_f0_0_o2_0 0) (instanceRef dec_regs))
           (portRef (member path_right_addr_2_f0_0_o2_0 0) (instanceRef alu))
          ))
          (net (rename path_right_addr_2_f0_0_a3_1_0_3 "path_right_addr_2_f0_0_a3_1_0[3]") (joined
           (portRef (member path_right_addr_2_f0_0_a3_1_0 0) (instanceRef dec_regs))
           (portRef (member path_right_addr_2_f0_0_a3_1_0 0) (instanceRef alu))
          ))
          (net (rename path_left_addr_3_0 "path_left_addr_3[0]") (joined
           (portRef (member path_left_addr_3 1) (instanceRef dec_regs))
           (portRef (member path_left_addr_3 0) (instanceRef alu))
          ))
          (net (rename datamux_o_alu_in_left_path_addr_cnst_3 "datamux_o_alu_in_left_path_addr_cnst[3]") (joined
           (portRef datamux_o_alu_in_left_path_addr_cnst_3 (instanceRef regs))
           (portRef (member datamux_o_alu_in_left_path_addr_cnst 0) (instanceRef alu))
          ))
          (net (rename alu_o_result_11 "alu_o_result[11]") (joined
           (portRef alu_o_result_11 (instanceRef alu))
           (portRef (member alu_o_result 0) (instanceRef regs))
          ))
          (net (rename path_right_addr_4_i_1_1 "path_right_addr_4_i_1[1]") (joined
           (portRef (member path_right_addr_4_i_1 0) (instanceRef dec_regs))
           (portRef (member path_right_addr_4_i_1 0) (instanceRef regs))
           (portRef (member path_right_addr_4_i_1 0) (instanceRef alu))
          ))
          (net (rename dec_o_p1_optype_0 "dec_o_p1_optype[0]") (joined
           (portRef (member dec_o_p1_optype 1) (instanceRef dec_op))
           (portRef (member dec_o_p1_optype 1) (instanceRef dec_regs))
           (portRef (member dec_o_p1_optype 1) (instanceRef alu))
          ))
          (net (rename dec_o_p1_optype_1 "dec_o_p1_optype[1]") (joined
           (portRef (member dec_o_p1_optype 0) (instanceRef dec_op))
           (portRef (member dec_o_p1_optype 0) (instanceRef dec_regs))
           (portRef (member dec_o_p1_optype 0) (instanceRef alu))
          ))
          (net (rename IX_0 "IX[0]") (joined
           (portRef IX_0 (instanceRef regs))
           (portRef (member ix 0) (instanceRef alu))
          ))
          (net (rename datamux_o_alu_in_left_path_addr_1_0 "datamux_o_alu_in_left_path_addr_1[0]") (joined
           (portRef (member datamux_o_alu_in_left_path_addr_1 2) (instanceRef regs))
           (portRef (member datamux_o_alu_in_left_path_addr_1 2) (instanceRef alu))
          ))
          (net (rename datamux_o_alu_in_left_path_addr_1_1 "datamux_o_alu_in_left_path_addr_1[1]") (joined
           (portRef (member datamux_o_alu_in_left_path_addr_1 1) (instanceRef regs))
           (portRef (member datamux_o_alu_in_left_path_addr_1 1) (instanceRef alu))
          ))
          (net (rename datamux_o_alu_in_left_path_addr_1_2 "datamux_o_alu_in_left_path_addr_1[2]") (joined
           (portRef (member datamux_o_alu_in_left_path_addr_1 0) (instanceRef regs))
           (portRef (member datamux_o_alu_in_left_path_addr_1 0) (instanceRef alu))
          ))
          (net (rename regs_o_right_path_data_5 "regs_o_right_path_data[5]") (joined
           (portRef regs_o_right_path_data_4 (instanceRef regs))
           (portRef regs_o_right_path_data_4 (instanceRef alu))
          ))
          (net (rename regs_o_right_path_data_6 "regs_o_right_path_data[6]") (joined
           (portRef regs_o_right_path_data_5 (instanceRef regs))
           (portRef regs_o_right_path_data_5 (instanceRef alu))
          ))
          (net (rename regs_o_right_path_data_1 "regs_o_right_path_data[1]") (joined
           (portRef regs_o_right_path_data_0 (instanceRef regs))
           (portRef regs_o_right_path_data_0 (instanceRef alu))
          ))
          (net (rename next_mem_state_cnv_0_0 "next_mem_state_cnv_0[0]") (joined
           (portRef (member next_mem_state_cnv_0 0) (instanceRef regs))
           (portRef (member next_mem_state_cnv_0 0) (instanceRef alu))
          ))
          (net (rename SU_0 "SU[0]") (joined
           (portRef SU_0 (instanceRef regs))
           (portRef SU_0 (instanceRef alu))
          ))
          (net (rename SU_12 "SU[12]") (joined
           (portRef SU_12 (instanceRef regs))
           (portRef SU_12 (instanceRef alu))
          ))
          (net (rename SU_7 "SU[7]") (joined
           (portRef SU_7 (instanceRef regs))
           (portRef SU_7 (instanceRef alu))
          ))
          (net (rename SU_1 "SU[1]") (joined
           (portRef SU_1 (instanceRef regs))
           (portRef SU_1 (instanceRef alu))
          ))
          (net (rename SU_15 "SU[15]") (joined
           (portRef SU_15 (instanceRef regs))
           (portRef SU_15 (instanceRef alu))
          ))
          (net (rename SU_8 "SU[8]") (joined
           (portRef SU_8 (instanceRef regs))
           (portRef SU_8 (instanceRef alu))
          ))
          (net (rename SU_3 "SU[3]") (joined
           (portRef SU_3 (instanceRef regs))
           (portRef SU_3 (instanceRef alu))
          ))
          (net (rename SU_4 "SU[4]") (joined
           (portRef SU_4 (instanceRef regs))
           (portRef SU_4 (instanceRef alu))
          ))
          (net (rename SU_6 "SU[6]") (joined
           (portRef SU_6 (instanceRef regs))
           (portRef SU_6 (instanceRef alu))
          ))
          (net (rename SU_14 "SU[14]") (joined
           (portRef SU_14 (instanceRef regs))
           (portRef SU_14 (instanceRef alu))
          ))
          (net (rename SS_0 "SS[0]") (joined
           (portRef SS_0 (instanceRef regs))
           (portRef SS_0 (instanceRef alu))
          ))
          (net (rename SS_12 "SS[12]") (joined
           (portRef SS_12 (instanceRef regs))
           (portRef SS_12 (instanceRef alu))
          ))
          (net (rename SS_7 "SS[7]") (joined
           (portRef SS_7 (instanceRef regs))
           (portRef SS_7 (instanceRef alu))
          ))
          (net (rename SS_1 "SS[1]") (joined
           (portRef SS_1 (instanceRef regs))
           (portRef SS_1 (instanceRef alu))
          ))
          (net (rename SS_15 "SS[15]") (joined
           (portRef SS_15 (instanceRef regs))
           (portRef SS_15 (instanceRef alu))
          ))
          (net (rename SS_8 "SS[8]") (joined
           (portRef SS_8 (instanceRef regs))
           (portRef SS_8 (instanceRef alu))
          ))
          (net (rename SS_3 "SS[3]") (joined
           (portRef SS_3 (instanceRef regs))
           (portRef SS_3 (instanceRef alu))
          ))
          (net (rename SS_4 "SS[4]") (joined
           (portRef SS_4 (instanceRef regs))
           (portRef SS_4 (instanceRef alu))
          ))
          (net (rename SS_6 "SS[6]") (joined
           (portRef SS_6 (instanceRef regs))
           (portRef SS_6 (instanceRef alu))
          ))
          (net (rename SS_14 "SS[14]") (joined
           (portRef SS_14 (instanceRef regs))
           (portRef SS_14 (instanceRef alu))
          ))
          (net (rename datamux_o_alu_in_left_path_addr_1_RNI208S7_1 "datamux_o_alu_in_left_path_addr_1_RNI208S7[1]") (joined
           (portRef (member datamux_o_alu_in_left_path_addr_1_rni208s7 0) (instanceRef regs))
           (portRef (member datamux_o_alu_in_left_path_addr_1_rni208s7 0) (instanceRef alu))
          ))
          (net (rename datamux_o_dest_15 "datamux_o_dest[15]") (joined
           (portRef datamux_o_dest_15 (instanceRef alu))
           (portRef datamux_o_dest_15 (instanceRef regs))
          ))
          (net (rename datamux_o_dest_14 "datamux_o_dest[14]") (joined
           (portRef datamux_o_dest_14 (instanceRef alu))
           (portRef datamux_o_dest_14 (instanceRef regs))
          ))
          (net (rename datamux_o_dest_13 "datamux_o_dest[13]") (joined
           (portRef datamux_o_dest_13 (instanceRef alu))
           (portRef datamux_o_dest_13 (instanceRef regs))
          ))
          (net (rename datamux_o_dest_12 "datamux_o_dest[12]") (joined
           (portRef datamux_o_dest_12 (instanceRef alu))
           (portRef datamux_o_dest_12 (instanceRef regs))
          ))
          (net (rename datamux_o_dest_10 "datamux_o_dest[10]") (joined
           (portRef datamux_o_dest_10 (instanceRef alu))
           (portRef datamux_o_dest_10 (instanceRef regs))
          ))
          (net (rename datamux_o_dest_9 "datamux_o_dest[9]") (joined
           (portRef datamux_o_dest_9 (instanceRef alu))
           (portRef datamux_o_dest_9 (instanceRef regs))
          ))
          (net (rename datamux_o_dest_8 "datamux_o_dest[8]") (joined
           (portRef datamux_o_dest_8 (instanceRef alu))
           (portRef datamux_o_dest_8 (instanceRef regs))
          ))
          (net (rename datamux_o_dest_7 "datamux_o_dest[7]") (joined
           (portRef datamux_o_dest_7 (instanceRef alu))
           (portRef datamux_o_dest_7 (instanceRef regs))
          ))
          (net (rename datamux_o_dest_5 "datamux_o_dest[5]") (joined
           (portRef datamux_o_dest_5 (instanceRef alu))
           (portRef datamux_o_dest_5 (instanceRef regs))
          ))
          (net (rename datamux_o_dest_4 "datamux_o_dest[4]") (joined
           (portRef datamux_o_dest_4 (instanceRef alu))
           (portRef datamux_o_dest_4 (instanceRef regs))
          ))
          (net (rename datamux_o_dest_3 "datamux_o_dest[3]") (joined
           (portRef datamux_o_dest_3 (instanceRef alu))
           (portRef datamux_o_dest_3 (instanceRef regs))
          ))
          (net (rename datamux_o_dest_2 "datamux_o_dest[2]") (joined
           (portRef datamux_o_dest_2 (instanceRef alu))
           (portRef datamux_o_dest_2 (instanceRef regs))
          ))
          (net (rename datamux_o_dest_1 "datamux_o_dest[1]") (joined
           (portRef datamux_o_dest_1 (instanceRef alu))
           (portRef datamux_o_dest_1 (instanceRef regs))
          ))
          (net (rename datamux_o_dest_6 "datamux_o_dest[6]") (joined
           (portRef datamux_o_dest_6 (instanceRef alu))
           (portRef datamux_o_dest_6 (instanceRef regs))
          ))
          (net (rename datamux_o_dest_0 "datamux_o_dest[0]") (joined
           (portRef datamux_o_dest_0 (instanceRef alu))
           (portRef datamux_o_dest_0 (instanceRef regs))
          ))
          (net (rename mul16_w_7 "mul16_w[7]") (joined
           (portRef mul16_w_4 (instanceRef alu))
           (portRef (member mul16_w 0) (instanceRef regs))
          ))
          (net (rename regs_o_eamem_addr_0 "regs_o_eamem_addr[0]") (joined
           (portRef regs_o_eamem_addr_0 (instanceRef regs))
           (portRef regs_o_eamem_addr_0 (instanceRef alu))
          ))
          (net (rename regs_o_eamem_addr_13 "regs_o_eamem_addr[13]") (joined
           (portRef regs_o_eamem_addr_13 (instanceRef regs))
           (portRef regs_o_eamem_addr_13 (instanceRef alu))
          ))
          (net (rename regs_o_eamem_addr_10 "regs_o_eamem_addr[10]") (joined
           (portRef regs_o_eamem_addr_10 (instanceRef regs))
           (portRef regs_o_eamem_addr_10 (instanceRef alu))
          ))
          (net (rename regs_o_eamem_addr_9 "regs_o_eamem_addr[9]") (joined
           (portRef regs_o_eamem_addr_9 (instanceRef regs))
           (portRef regs_o_eamem_addr_9 (instanceRef alu))
          ))
          (net (rename regs_o_eamem_addr_5 "regs_o_eamem_addr[5]") (joined
           (portRef regs_o_eamem_addr_5 (instanceRef regs))
           (portRef regs_o_eamem_addr_5 (instanceRef alu))
          ))
          (net (rename regs_o_eamem_addr_2 "regs_o_eamem_addr[2]") (joined
           (portRef regs_o_eamem_addr_2 (instanceRef regs))
           (portRef regs_o_eamem_addr_2 (instanceRef alu))
          ))
          (net (rename regs_o_eamem_addr_1 "regs_o_eamem_addr[1]") (joined
           (portRef regs_o_eamem_addr_1 (instanceRef regs))
           (portRef regs_o_eamem_addr_1 (instanceRef alu))
          ))
          (net (rename regs_o_eamem_addr_6 "regs_o_eamem_addr[6]") (joined
           (portRef regs_o_eamem_addr_6 (instanceRef regs))
           (portRef regs_o_eamem_addr_6 (instanceRef alu))
          ))
          (net (rename regs_o_eamem_addr_4 "regs_o_eamem_addr[4]") (joined
           (portRef regs_o_eamem_addr_4 (instanceRef regs))
           (portRef regs_o_eamem_addr_4 (instanceRef alu))
          ))
          (net (rename regs_o_eamem_addr_7 "regs_o_eamem_addr[7]") (joined
           (portRef regs_o_eamem_addr_7 (instanceRef regs))
           (portRef regs_o_eamem_addr_7 (instanceRef alu))
          ))
          (net (rename regs_o_eamem_addr_8 "regs_o_eamem_addr[8]") (joined
           (portRef regs_o_eamem_addr_8 (instanceRef regs))
           (portRef regs_o_eamem_addr_8 (instanceRef alu))
          ))
          (net (rename regs_o_eamem_addr_12 "regs_o_eamem_addr[12]") (joined
           (portRef regs_o_eamem_addr_12 (instanceRef regs))
           (portRef regs_o_eamem_addr_12 (instanceRef alu))
          ))
          (net (rename regs_o_eamem_addr_14 "regs_o_eamem_addr[14]") (joined
           (portRef regs_o_eamem_addr_14 (instanceRef regs))
           (portRef regs_o_eamem_addr_14 (instanceRef alu))
          ))
          (net (rename regs_o_eamem_addr_15 "regs_o_eamem_addr[15]") (joined
           (portRef regs_o_eamem_addr_15 (instanceRef regs))
           (portRef regs_o_eamem_addr_15 (instanceRef alu))
          ))
          (net (rename regs_o_eamem_addr_3 "regs_o_eamem_addr[3]") (joined
           (portRef regs_o_eamem_addr_3 (instanceRef regs))
           (portRef regs_o_eamem_addr_3 (instanceRef alu))
          ))
          (net (rename k_memhif_1 "k_memhif[1]") (joined
           (portRef k_memhif_1 (instanceRef alu))
           (portRef k_memhif_0 (instanceRef regs))
          ))
          (net (rename k_memhif_2 "k_memhif[2]") (joined
           (portRef k_memhif_2 (instanceRef alu))
           (portRef k_memhif_1 (instanceRef regs))
          ))
          (net (rename k_memhif_3 "k_memhif[3]") (joined
           (portRef k_memhif_3 (instanceRef alu))
           (portRef k_memhif_2 (instanceRef regs))
          ))
          (net (rename k_memhif_5 "k_memhif[5]") (joined
           (portRef k_memhif_5 (instanceRef alu))
           (portRef k_memhif_4 (instanceRef regs))
          ))
          (net (rename regs_o_dp_1 "regs_o_dp[1]") (joined
           (portRef regs_o_dp_1 (instanceRef regs))
           (portRef regs_o_dp_1 (instanceRef alu))
          ))
          (net (rename regs_o_dp_4 "regs_o_dp[4]") (joined
           (portRef regs_o_dp_4 (instanceRef regs))
           (portRef regs_o_dp_4 (instanceRef alu))
          ))
          (net (rename regs_o_dp_6 "regs_o_dp[6]") (joined
           (portRef regs_o_dp_6 (instanceRef regs))
           (portRef regs_o_dp_6 (instanceRef alu))
          ))
          (net (rename regs_o_dp_7 "regs_o_dp[7]") (joined
           (portRef regs_o_dp_7 (instanceRef regs))
           (portRef regs_o_dp_7 (instanceRef alu))
          ))
          (net (rename regs_o_dp_0 "regs_o_dp[0]") (joined
           (portRef regs_o_dp_0 (instanceRef regs))
           (portRef regs_o_dp_0 (instanceRef alu))
          ))
          (net (rename dec_o_p1_modef_1 "dec_o_p1_modef[1]") (joined
           (portRef (member dec_o_p1_modef 1) (instanceRef alu))
           (portRef (member dec_o_p1_modef 1) (instanceRef regs))
          ))
          (net (rename dec_o_p1_modef_2 "dec_o_p1_modef[2]") (joined
           (portRef (member dec_o_p1_modef 0) (instanceRef alu))
           (portRef (member dec_o_p1_modef 0) (instanceRef regs))
          ))
          (net (rename dec_o_p1_mode_0 "dec_o_p1_mode[0]") (joined
           (portRef (member dec_o_p1_mode 2) (instanceRef dec_op))
           (portRef (member dec_o_p1_mode 2) (instanceRef dec_regs))
           (portRef (member dec_o_p1_mode 2) (instanceRef regs))
           (portRef (member dec_o_p1_mode 2) (instanceRef alu))
          ))
          (net (rename dec_o_p1_mode_1 "dec_o_p1_mode[1]") (joined
           (portRef (member dec_o_p1_mode 1) (instanceRef dec_op))
           (portRef (member dec_o_p1_mode 1) (instanceRef dec_regs))
           (portRef (member dec_o_p1_mode 1) (instanceRef regs))
           (portRef (member dec_o_p1_mode 1) (instanceRef alu))
          ))
          (net (rename dec_o_p1_mode_2 "dec_o_p1_mode[2]") (joined
           (portRef (member dec_o_p1_mode 0) (instanceRef dec_op))
           (portRef (member dec_o_p1_mode 0) (instanceRef dec_regs))
           (portRef (member dec_o_p1_mode 0) (instanceRef regs))
           (portRef (member dec_o_p1_mode 0) (instanceRef alu))
          ))
          (net (rename datamux_o_alu_in_left_path_data_15 "datamux_o_alu_in_left_path_data[15]") (joined
           (portRef datamux_o_alu_in_left_path_data_15 (instanceRef alu))
           (portRef datamux_o_alu_in_left_path_data_15 (instanceRef regs))
          ))
          (net (rename datamux_o_alu_in_left_path_data_7 "datamux_o_alu_in_left_path_data[7]") (joined
           (portRef datamux_o_alu_in_left_path_data_7 (instanceRef alu))
           (portRef datamux_o_alu_in_left_path_data_7 (instanceRef regs))
          ))
          (net (rename datamux_o_alu_in_left_path_data_0 "datamux_o_alu_in_left_path_data[0]") (joined
           (portRef datamux_o_alu_in_left_path_data_0 (instanceRef alu))
           (portRef datamux_o_alu_in_left_path_data_0 (instanceRef regs))
          ))
          (net (rename regs_o_CCR_0 "regs_o_CCR[0]") (joined
           (portRef regs_o_CCR_0 (instanceRef regs))
           (portRef (member regs_o_ccr 3) (instanceRef test_cond))
           (portRef regs_o_CCR_0 (instanceRef alu))
          ))
          (net (rename regs_o_CCR_5 "regs_o_CCR[5]") (joined
           (portRef regs_o_CCR_5 (instanceRef regs))
           (portRef regs_o_CCR_5 (instanceRef alu))
          ))
          (net (rename regs_o_CCR_3 "regs_o_CCR[3]") (joined
           (portRef regs_o_CCR_3 (instanceRef regs))
           (portRef (member regs_o_ccr 0) (instanceRef test_cond))
           (portRef regs_o_CCR_3 (instanceRef alu))
          ))
          (net (rename regs_o_CCR_2 "regs_o_CCR[2]") (joined
           (portRef regs_o_CCR_2 (instanceRef regs))
           (portRef (member regs_o_ccr 1) (instanceRef test_cond))
           (portRef regs_o_CCR_2 (instanceRef alu))
          ))
          (net (rename regs_o_CCR_1 "regs_o_CCR[1]") (joined
           (portRef regs_o_CCR_1 (instanceRef regs))
           (portRef (member regs_o_ccr 2) (instanceRef test_cond))
           (portRef regs_o_CCR_1 (instanceRef alu))
          ))
          (net (rename dec_o_right_path_addr_3 "dec_o_right_path_addr[3]") (joined
           (portRef dec_o_right_path_addr_3 (instanceRef dec_regs))
           (portRef dec_o_right_path_addr_3 (instanceRef regs))
           (portRef dec_o_right_path_addr_3 (instanceRef alu))
          ))
          (net (rename dec_o_right_path_addr_0 "dec_o_right_path_addr[0]") (joined
           (portRef dec_o_right_path_addr_0 (instanceRef dec_regs))
           (portRef dec_o_right_path_addr_0 (instanceRef regs))
           (portRef dec_o_right_path_addr_0 (instanceRef alu))
          ))
          (net (rename regs_o_left_path_data_7 "regs_o_left_path_data[7]") (joined
           (portRef regs_o_left_path_data_6 (instanceRef regs))
           (portRef regs_o_left_path_data_6 (instanceRef alu))
          ))
          (net (rename regs_o_left_path_data_5 "regs_o_left_path_data[5]") (joined
           (portRef regs_o_left_path_data_4 (instanceRef regs))
           (portRef regs_o_left_path_data_4 (instanceRef alu))
          ))
          (net (rename regs_o_left_path_data_4 "regs_o_left_path_data[4]") (joined
           (portRef regs_o_left_path_data_3 (instanceRef regs))
           (portRef regs_o_left_path_data_3 (instanceRef alu))
          ))
          (net (rename regs_o_left_path_data_3 "regs_o_left_path_data[3]") (joined
           (portRef regs_o_left_path_data_2 (instanceRef regs))
           (portRef regs_o_left_path_data_2 (instanceRef alu))
          ))
          (net (rename regs_o_left_path_data_2 "regs_o_left_path_data[2]") (joined
           (portRef regs_o_left_path_data_1 (instanceRef regs))
           (portRef regs_o_left_path_data_1 (instanceRef alu))
          ))
          (net (rename regs_o_left_path_data_1 "regs_o_left_path_data[1]") (joined
           (portRef regs_o_left_path_data_0 (instanceRef regs))
           (portRef regs_o_left_path_data_0 (instanceRef alu))
          ))
          (net (rename regs_o_left_path_data_6 "regs_o_left_path_data[6]") (joined
           (portRef regs_o_left_path_data_5 (instanceRef regs))
           (portRef regs_o_left_path_data_5 (instanceRef alu))
          ))
          (net (rename regs_o_left_path_data_9 "regs_o_left_path_data[9]") (joined
           (portRef regs_o_left_path_data_8 (instanceRef regs))
           (portRef regs_o_left_path_data_8 (instanceRef alu))
          ))
          (net (rename regs_o_left_path_data_10 "regs_o_left_path_data[10]") (joined
           (portRef regs_o_left_path_data_9 (instanceRef regs))
           (portRef regs_o_left_path_data_9 (instanceRef alu))
          ))
          (net (rename regs_o_left_path_data_11 "regs_o_left_path_data[11]") (joined
           (portRef regs_o_left_path_data_10 (instanceRef regs))
           (portRef regs_o_left_path_data_10 (instanceRef alu))
          ))
          (net (rename regs_o_left_path_data_12 "regs_o_left_path_data[12]") (joined
           (portRef regs_o_left_path_data_11 (instanceRef regs))
           (portRef regs_o_left_path_data_11 (instanceRef alu))
          ))
          (net (rename regs_o_left_path_data_13 "regs_o_left_path_data[13]") (joined
           (portRef regs_o_left_path_data_12 (instanceRef regs))
           (portRef regs_o_left_path_data_12 (instanceRef alu))
          ))
          (net (rename regs_o_left_path_data_15 "regs_o_left_path_data[15]") (joined
           (portRef regs_o_left_path_data_14 (instanceRef regs))
           (portRef regs_o_left_path_data_14 (instanceRef alu))
          ))
          (net (rename neg16_w_5 "neg16_w[5]") (joined
           (portRef neg16_w_4 (instanceRef alu))
           (portRef (member neg16_w 2) (instanceRef regs))
          ))
          (net (rename neg16_w_6 "neg16_w[6]") (joined
           (portRef neg16_w_5 (instanceRef alu))
           (portRef (member neg16_w 1) (instanceRef regs))
          ))
          (net (rename neg16_w_3 "neg16_w[3]") (joined
           (portRef neg16_w_2 (instanceRef alu))
           (portRef (member neg16_w 4) (instanceRef regs))
          ))
          (net (rename neg16_w_4 "neg16_w[4]") (joined
           (portRef neg16_w_3 (instanceRef alu))
           (portRef (member neg16_w 3) (instanceRef regs))
          ))
          (net (rename neg16_w_2 "neg16_w[2]") (joined
           (portRef neg16_w_1 (instanceRef alu))
           (portRef (member neg16_w 5) (instanceRef regs))
          ))
          (net (rename neg16_w_7 "neg16_w[7]") (joined
           (portRef neg16_w_6 (instanceRef alu))
           (portRef (member neg16_w 0) (instanceRef regs))
          ))
          (net (rename neg16_w_1 "neg16_w[1]") (joined
           (portRef neg16_w_0 (instanceRef alu))
           (portRef (member neg16_w 6) (instanceRef regs))
          ))
          (net (rename alu_opcode_1_f0_1_0 "alu_opcode_1_f0_1[0]") (joined
           (portRef (member alu_opcode_1_f0_1 0) (instanceRef dec_alu))
           (portRef (member alu_opcode_1_f0_1 0) (instanceRef alu))
          ))
          (net (rename alu_opcode_1_f0_1_0_0 "alu_opcode_1_f0_1_0[0]") (joined
           (portRef (member alu_opcode_1_f0_1_0 0) (instanceRef dec_alu))
           (portRef (member alu_opcode_1_f0_1_0 0) (instanceRef alu))
          ))
          (net (rename dec_o_alu_opcode_0 "dec_o_alu_opcode[0]") (joined
           (portRef (member dec_o_alu_opcode 4) (instanceRef dec_alu))
           (portRef (member dec_o_alu_opcode 4) (instanceRef regs))
           (portRef (member dec_o_alu_opcode 4) (instanceRef alu))
          ))
          (net (rename dec_o_alu_opcode_1 "dec_o_alu_opcode[1]") (joined
           (portRef (member dec_o_alu_opcode 3) (instanceRef dec_alu))
           (portRef (member dec_o_alu_opcode 3) (instanceRef regs))
           (portRef (member dec_o_alu_opcode 3) (instanceRef alu))
          ))
          (net (rename dec_o_alu_opcode_2 "dec_o_alu_opcode[2]") (joined
           (portRef (member dec_o_alu_opcode 2) (instanceRef dec_alu))
           (portRef (member dec_o_alu_opcode 2) (instanceRef regs))
           (portRef (member dec_o_alu_opcode 2) (instanceRef alu))
          ))
          (net (rename dec_o_alu_opcode_3 "dec_o_alu_opcode[3]") (joined
           (portRef (member dec_o_alu_opcode 1) (instanceRef dec_alu))
           (portRef (member dec_o_alu_opcode 1) (instanceRef regs))
           (portRef (member dec_o_alu_opcode 1) (instanceRef alu))
          ))
          (net (rename dec_o_alu_opcode_4 "dec_o_alu_opcode[4]") (joined
           (portRef (member dec_o_alu_opcode 0) (instanceRef dec_alu))
           (portRef (member dec_o_alu_opcode 0) (instanceRef regs))
           (portRef (member dec_o_alu_opcode 0) (instanceRef alu))
          ))
          (net (rename alu_o_CCR_5 "alu_o_CCR[5]") (joined
           (portRef alu_o_CCR_4 (instanceRef alu))
           (portRef alu_o_CCR_4 (instanceRef regs))
          ))
          (net (rename alu_o_CCR_3 "alu_o_CCR[3]") (joined
           (portRef alu_o_CCR_2 (instanceRef alu))
           (portRef alu_o_CCR_2 (instanceRef regs))
          ))
          (net (rename alu_o_CCR_1 "alu_o_CCR[1]") (joined
           (portRef alu_o_CCR_0 (instanceRef alu))
           (portRef (member alu_o_ccr 0) (instanceRef test_cond))
           (portRef alu_o_CCR_0 (instanceRef regs))
          ))
          (net (rename alu_o_CCR_2 "alu_o_CCR[2]") (joined
           (portRef alu_o_CCR_1 (instanceRef alu))
           (portRef alu_o_CCR_1 (instanceRef regs))
          ))
          (net (rename datamux_o_destf_5 "datamux_o_destf[5]") (joined
           (portRef datamux_o_destf_4 (instanceRef regs))
           (portRef datamux_o_destf_4 (instanceRef alu))
          ))
          (net (rename datamux_o_destf_3 "datamux_o_destf[3]") (joined
           (portRef datamux_o_destf_2 (instanceRef regs))
           (portRef datamux_o_destf_2 (instanceRef alu))
          ))
          (net (rename datamux_o_destf_1 "datamux_o_destf[1]") (joined
           (portRef datamux_o_destf_0 (instanceRef regs))
           (portRef (member datamux_o_destf 0) (instanceRef test_cond))
           (portRef datamux_o_destf_0 (instanceRef alu))
          ))
          (net (rename datamux_o_destf_2 "datamux_o_destf[2]") (joined
           (portRef datamux_o_destf_1 (instanceRef regs))
           (portRef datamux_o_destf_1 (instanceRef alu))
          ))
          (net (rename dec_o_dest_reg_addr_3 "dec_o_dest_reg_addr[3]") (joined
           (portRef (member dec_o_dest_reg_addr 0) (instanceRef dec_regs))
           (portRef (member dec_o_dest_reg_addr 0) (instanceRef regs))
           (portRef (member dec_o_dest_reg_addr 0) (instanceRef alu))
          ))
          (net (rename next_push_state_cnv_0_0 "next_push_state_cnv_0[0]") (joined
           (portRef (member next_push_state_cnv_0 0) (instanceRef alu))
           (portRef (member next_push_state_cnv_0 0) (instanceRef regs))
          ))
          (net (rename state54_0 "state54[0]") (joined
           (portRef (member state54 0) (instanceRef regs))
           (portRef (member state54 0) (instanceRef alu))
          ))
          (net (rename reset_cnt_0 "reset_cnt[0]") (joined
           (portRef (member reset_cnt 3))
           (portRef (member reset_cnt 3) (instanceRef alu))
          ))
          (net (rename reset_cntZ0Z_1 "reset_cnt[1]") (joined
           (portRef (member reset_cnt 2))
           (portRef (member reset_cnt 2) (instanceRef alu))
          ))
          (net (rename reset_cnt_2 "reset_cnt[2]") (joined
           (portRef (member reset_cnt 1))
           (portRef (member reset_cnt 1) (instanceRef alu))
          ))
          (net (rename reset_cnt_3 "reset_cnt[3]") (joined
           (portRef (member reset_cnt 0))
           (portRef (member reset_cnt 0) (instanceRef alu))
          ))
          (net k_pp_regs_27_sn_m7_1 (joined
           (portRef k_pp_regs_27_sn_m7_1 (instanceRef regs))
           (portRef k_pp_regs_27_sn_m7_1 (instanceRef alu))
          ))
          (net datamux_o_alu_in_left_path_data6_x (joined
           (portRef datamux_o_alu_in_left_path_data6_x (instanceRef regs))
           (portRef datamux_o_alu_in_left_path_data6_x (instanceRef alu))
          ))
          (net N_280 (joined
           (portRef N_280 (instanceRef regs))
           (portRef N_280 (instanceRef alu))
          ))
          (net N_248 (joined
           (portRef N_248 (instanceRef regs))
           (portRef N_248 (instanceRef alu))
          ))
          (net N_174 (joined
           (portRef N_174 (instanceRef alu))
           (portRef N_174 (instanceRef regs))
          ))
          (net N_318 (joined
           (portRef N_318 (instanceRef regs))
           (portRef N_318_0 (instanceRef alu))
          ))
          (net N_268 (joined
           (portRef N_268 (instanceRef regs))
           (portRef N_268 (instanceRef alu))
          ))
          (net un1_dest_reg_2_sqmuxa_1_0 (joined
           (portRef un1_dest_reg_2_sqmuxa_1_0 (instanceRef dec_regs))
           (portRef un1_dest_reg_2_sqmuxa_1_0 (instanceRef alu))
          ))
          (net N_298 (joined
           (portRef N_298 (instanceRef dec_regs))
           (portRef N_298 (instanceRef regs))
           (portRef N_298 (instanceRef alu))
          ))
          (net N_295 (joined
           (portRef N_295 (instanceRef dec_regs))
           (portRef N_295 (instanceRef regs))
           (portRef N_295 (instanceRef alu))
          ))
          (net N_121 (joined
           (portRef N_121 (instanceRef regs))
           (portRef N_121 (instanceRef alu))
          ))
          (net N_289 (joined
           (portRef N_289 (instanceRef dec_regs))
           (portRef N_289 (instanceRef alu))
          ))
          (net N_72 (joined
           (portRef N_72 (instanceRef dec_regs))
           (portRef N_72 (instanceRef alu))
          ))
          (net un1_dest_reg_2_sqmuxa_1_1_0_2 (joined
           (portRef un1_dest_reg_2_sqmuxa_1_1_0_2 (instanceRef dec_regs))
           (portRef un1_dest_reg_2_sqmuxa_1_1_0_2 (instanceRef regs))
           (portRef un1_dest_reg_2_sqmuxa_1_1_0_2 (instanceRef alu))
          ))
          (net un1_state_49_1 (joined
           (portRef un1_state_49_1 (instanceRef dec_regs))
           (portRef un1_state_49_1 (instanceRef regs))
           (portRef un1_state_49_1 (instanceRef alu))
          ))
          (net un1_cen_o_0 (joined
           (portRef un1_cen_o_0 (instanceRef alu))
           (portRef un1_cen_o_0)
          ))
          (net un1_state_60_3 (joined
           (portRef un1_state_60_3 (instanceRef alu))
           (portRef un1_state_60_3 (instanceRef regs))
          ))
          (net N_135 (joined
           (portRef N_135 (instanceRef dec_op))
           (portRef N_135 (instanceRef alu))
          ))
          (net N_61 (joined
           (portRef N_61 (instanceRef dec_regs))
           (portRef N_61 (instanceRef alu))
          ))
          (net un1_state_40 (joined
           (portRef un1_state_40 (instanceRef alu))
           (portRef un1_state_40 (instanceRef dec_op))
          ))
          (net N_1237_1 (joined
           (portRef N_1237_1 (instanceRef regs))
           (portRef N_1237_1 (instanceRef alu))
          ))
          (net un1_state_25 (joined
           (portRef un1_state_25 (instanceRef alu))
           (portRef un1_state_25 (instanceRef regs))
          ))
          (net k_clear_e_3_2 (joined
           (portRef k_clear_e_3_2 (instanceRef dec_regs))
           (portRef k_clear_e_3_2 (instanceRef regs))
           (portRef k_clear_e_3_2 (instanceRef alu))
          ))
          (net cneg16_r_13 (joined
           (portRef cneg16_r_13 (instanceRef alu))
           (portRef cneg16_r_13 (instanceRef regs))
          ))
          (net N_144 (joined
           (portRef N_144 (instanceRef dec_regs))
           (portRef N_144 (instanceRef regs))
           (portRef N_144 (instanceRef alu))
          ))
          (net N_1723_4 (joined
           (portRef N_1723_4 (instanceRef regs))
           (portRef N_1723_4 (instanceRef alu))
          ))
          (net un1_state_40_2 (joined
           (portRef un1_state_40_2 (instanceRef dec_regs))
           (portRef un1_state_40_2 (instanceRef regs))
           (portRef un1_state_40_2 (instanceRef alu))
          ))
          (net N_1243_2 (joined
           (portRef N_1243_2 (instanceRef regs))
           (portRef N_1243_2 (instanceRef dec_regs))
           (portRef N_1243_2 (instanceRef alu))
          ))
          (net N_1239_1 (joined
           (portRef N_1239_1 (instanceRef regs))
           (portRef N_1239_1 (instanceRef dec_regs))
           (portRef N_1239_1 (instanceRef alu))
          ))
          (net un1_state_76 (joined
           (portRef un1_state_76 (instanceRef alu))
           (portRef un1_state_76 (instanceRef regs))
          ))
          (net un1_state_82_4 (joined
           (portRef un1_state_82_4 (instanceRef regs))
           (portRef un1_state_82_4 (instanceRef dec_regs))
           (portRef un1_state_82_4 (instanceRef alu))
          ))
          (net un1_state_35 (joined
           (portRef un1_state_35 (instanceRef regs))
           (portRef un1_state_35 (instanceRef alu))
          ))
          (net un1_state_39_0 (joined
           (portRef un1_state_39_0 (instanceRef regs))
           (portRef un1_state_39_0 (instanceRef alu))
          ))
          (net state_1_sqmuxa_8 (joined
           (portRef state_1_sqmuxa_8 (instanceRef regs))
           (portRef state_1_sqmuxa_8 (instanceRef alu))
          ))
          (net un1_state_61_3 (joined
           (portRef un1_state_61_3 (instanceRef regs))
           (portRef un1_state_61_3 (instanceRef dec_regs))
           (portRef un1_state_61_3 (instanceRef alu))
          ))
          (net un1_datamux_o_alu_in_left_path_addr30_1_1 (joined
           (portRef un1_datamux_o_alu_in_left_path_addr30_1_1 (instanceRef regs))
           (portRef un1_datamux_o_alu_in_left_path_addr30_1_1 (instanceRef alu))
          ))
          (net datamux_o_alu_in_left_path_addr24 (joined
           (portRef datamux_o_alu_in_left_path_addr24 (instanceRef regs))
           (portRef datamux_o_alu_in_left_path_addr24 (instanceRef alu))
          ))
          (net datamux_o_alu_in_right_path_data29_1 (joined
           (portRef datamux_o_alu_in_right_path_data29_1 (instanceRef alu))
           (portRef datamux_o_alu_in_right_path_data29_1 (instanceRef regs))
          ))
          (net N_19_m (joined
           (portRef N_19_m (instanceRef dec_op))
           (portRef N_19_m (instanceRef dec_regs))
           (portRef N_19_m (instanceRef alu))
          ))
          (net un1_dec_o_ea_ofs8_1 (joined
           (portRef un1_dec_o_ea_ofs8_1 (instanceRef regs))
           (portRef un1_dec_o_ea_ofs8_1 (instanceRef alu))
          ))
          (net N_243 (joined
           (portRef N_243 (instanceRef regs))
           (portRef N_243 (instanceRef alu))
          ))
          (net N_244 (joined
           (portRef N_244 (instanceRef regs))
           (portRef N_244 (instanceRef alu))
          ))
          (net N_242 (joined
           (portRef N_242 (instanceRef regs))
           (portRef N_242 (instanceRef alu))
          ))
          (net N_265 (joined
           (portRef N_265 (instanceRef regs))
           (portRef N_265 (instanceRef alu))
          ))
          (net N_263 (joined
           (portRef N_263 (instanceRef regs))
           (portRef N_263 (instanceRef alu))
          ))
          (net N_264 (joined
           (portRef N_264 (instanceRef regs))
           (portRef N_264 (instanceRef alu))
          ))
          (net state_2_sqmuxa_6 (joined
           (portRef state_2_sqmuxa_6 (instanceRef regs))
           (portRef state_2_sqmuxa_6 (instanceRef alu))
          ))
          (net un75 (joined
           (portRef un75 (instanceRef regs))
           (portRef un75 (instanceRef alu))
          ))
          (net N_315 (joined
           (portRef N_315 (instanceRef regs))
           (portRef N_315_0 (instanceRef alu))
          ))
          (net N_313 (joined
           (portRef N_313 (instanceRef regs))
           (portRef N_313_0 (instanceRef alu))
          ))
          (net N_383 (joined
           (portRef N_383 (instanceRef regs))
           (portRef N_383 (instanceRef alu))
          ))
          (net N_382 (joined
           (portRef N_382 (instanceRef regs))
           (portRef N_382 (instanceRef alu))
          ))
          (net N_386 (joined
           (portRef N_386 (instanceRef regs))
           (portRef N_386_0 (instanceRef alu))
          ))
          (net N_231 (joined
           (portRef N_231_0 (instanceRef regs))
           (portRef N_231 (instanceRef alu))
          ))
          (net N_116_i_0 (joined
           (portRef N_116_i_0 (instanceRef alu))
           (portRef N_116_i_0 (instanceRef dec_regs))
           (portRef N_116_i_0 (instanceRef regs))
          ))
          (net N_381 (joined
           (portRef N_381 (instanceRef regs))
           (portRef N_381 (instanceRef alu))
          ))
          (net N_98 (joined
           (portRef N_98 (instanceRef dec_regs))
           (portRef N_98 (instanceRef regs))
           (portRef N_98 (instanceRef alu))
          ))
          (net N_1968 (joined
           (portRef N_1968 (instanceRef regs))
           (portRef N_1968 (instanceRef alu))
          ))
          (net N_177 (joined
           (portRef N_177 (instanceRef regs))
           (portRef N_177 (instanceRef alu))
          ))
          (net N_301 (joined
           (portRef N_301 (instanceRef regs))
           (portRef N_301 (instanceRef alu))
          ))
          (net N_302 (joined
           (portRef N_302 (instanceRef regs))
           (portRef N_302 (instanceRef alu))
          ))
          (net N_300 (joined
           (portRef N_300 (instanceRef regs))
           (portRef N_300 (instanceRef alu))
          ))
          (net N_327 (joined
           (portRef N_327 (instanceRef regs))
           (portRef N_327 (instanceRef alu))
          ))
          (net N_296 (joined
           (portRef N_296 (instanceRef regs))
           (portRef N_296 (instanceRef alu))
          ))
          (net N_1167 (joined
           (portRef N_1167 (instanceRef dec_regs))
           (portRef N_1167 (instanceRef regs))
           (portRef N_1167 (instanceRef alu))
          ))
          (net N_1184 (joined
           (portRef N_1184 (instanceRef dec_regs))
           (portRef N_1184 (instanceRef regs))
           (portRef N_1184 (instanceRef alu))
          ))
          (net state82 (joined
           (portRef state82 (instanceRef dec_regs))
           (portRef state82 (instanceRef alu))
          ))
          (net k_cpu_addr_4_sqmuxa (joined
           (portRef k_cpu_addr_4_sqmuxa (instanceRef dec_regs))
           (portRef k_cpu_addr_4_sqmuxa (instanceRef alu))
          ))
          (net N_1792 (joined
           (portRef N_1792 (instanceRef dec_regs))
           (portRef N_1792 (instanceRef regs))
           (portRef N_1792 (instanceRef alu))
          ))
          (net state78 (joined
           (portRef state78 (instanceRef dec_regs))
           (portRef state78 (instanceRef regs))
           (portRef state78 (instanceRef alu))
          ))
          (net state79 (joined
           (portRef state79 (instanceRef dec_regs))
           (portRef state79 (instanceRef regs))
           (portRef state79 (instanceRef alu))
          ))
          (net un1_state_74_2 (joined
           (portRef un1_state_74_2 (instanceRef regs))
           (portRef un1_state_74_2 (instanceRef alu))
          ))
          (net un1_state_74_1 (joined
           (portRef un1_state_74_1 (instanceRef regs))
           (portRef un1_state_74_1 (instanceRef alu))
          ))
          (net un1_state_41 (joined
           (portRef un1_state_41 (instanceRef regs))
           (portRef un1_state_41 (instanceRef alu))
          ))
          (net N_1796_1 (joined
           (portRef N_1796_1 (instanceRef dec_regs))
           (portRef N_1796_1 (instanceRef dec_op))
           (portRef N_1796_1 (instanceRef alu))
          ))
          (net k_ofshi_1_sqmuxa (joined
           (portRef k_ofshi_1_sqmuxa (instanceRef dec_regs))
           (portRef k_ofshi_1_sqmuxa (instanceRef alu))
          ))
          (net un1_state_42 (joined
           (portRef un1_state_42 (instanceRef alu))
           (portRef un1_state_42 (instanceRef regs))
          ))
          (net N_818_2 (joined
           (portRef N_818_2 (instanceRef dec_regs))
           (portRef N_818_2 (instanceRef alu))
          ))
          (net un1_k_cpu_addr_2_sqmuxa_1 (joined
           (portRef un1_k_cpu_addr_2_sqmuxa_1 (instanceRef alu))
           (portRef un1_k_cpu_addr_2_sqmuxa_1 (instanceRef regs))
          ))
          (net un1_k_cpu_addr_2_sqmuxa (joined
           (portRef un1_k_cpu_addr_2_sqmuxa (instanceRef regs))
           (portRef un1_k_cpu_addr_2_sqmuxa (instanceRef alu))
          ))
          (net un1_state_83 (joined
           (portRef un1_state_83 (instanceRef alu))
           (portRef un1_state_83 (instanceRef dec_regs))
           (portRef un1_state_83 (instanceRef regs))
          ))
          (net N_44 (joined
           (portRef N_44 (instanceRef alu))
           (portRef N_44 (instanceRef regs))
          ))
          (net state83 (joined
           (portRef state83 (instanceRef dec_regs))
           (portRef state83 (instanceRef alu))
          ))
          (net state81 (joined
           (portRef state81 (instanceRef dec_regs))
           (portRef state81 (instanceRef alu))
          ))
          (net un1_k_cpu_addr_3_sqmuxa (joined
           (portRef un1_k_cpu_addr_3_sqmuxa (instanceRef dec_regs))
           (portRef un1_k_cpu_addr_3_sqmuxa (instanceRef regs))
           (portRef un1_k_cpu_addr_3_sqmuxa (instanceRef alu))
          ))
          (net use_s_1 (joined
           (portRef use_s_1 (instanceRef dec_op))
           (portRef use_s_1 (instanceRef regs))
           (portRef use_s_1 (instanceRef alu))
          ))
          (net un1_k_cpu_addr_1_sqmuxa (joined
           (portRef un1_k_cpu_addr_1_sqmuxa (instanceRef alu))
           (portRef un1_k_cpu_addr_1_sqmuxa (instanceRef regs))
          ))
          (net N_358 (joined
           (portRef N_358 (instanceRef regs))
           (portRef N_358 (instanceRef alu))
          ))
          (net N_1960 (joined
           (portRef N_1960 (instanceRef alu))
           (portRef N_1960 (instanceRef regs))
          ))
          (net N_1753_1 (joined
           (portRef N_1753_1 (instanceRef regs))
           (portRef N_1753_1 (instanceRef alu))
          ))
          (net N_351 (joined
           (portRef N_351 (instanceRef regs))
           (portRef N_351_0 (instanceRef alu))
          ))
          (net N_1855 (joined
           (portRef N_1855 (instanceRef alu))
           (portRef N_1855 (instanceRef regs))
          ))
          (net next_state14 (joined
           (portRef next_state14 (instanceRef regs))
           (portRef next_state14 (instanceRef dec_regs))
           (portRef next_state14 (instanceRef alu))
          ))
          (net k_cpu_data_o_7_sn_N_2 (joined
           (portRef k_cpu_data_o_7_sn_N_2 (instanceRef regs))
           (portRef k_cpu_data_o_7_sn_N_2 (instanceRef alu))
          ))
          (net N_352 (joined
           (portRef N_352 (instanceRef regs))
           (portRef N_352_0 (instanceRef alu))
          ))
          (net N_167 (joined
           (portRef N_167 (instanceRef regs))
           (portRef N_167 (instanceRef alu))
          ))
          (net un3_daa8h_r_ac0_5 (joined
           (portRef un3_daa8h_r_ac0_5 (instanceRef alu))
           (portRef un3_daa8h_r_ac0_5 (instanceRef regs))
          ))
          (net k_pp_regs59 (joined
           (portRef k_pp_regs59 (instanceRef dec_regs))
           (portRef k_pp_regs59 (instanceRef alu))
          ))
          (net un1_k_pp_regs_6_sqmuxa (joined
           (portRef un1_k_pp_regs_6_sqmuxa (instanceRef regs))
           (portRef un1_k_pp_regs_6_sqmuxa (instanceRef alu))
          ))
          (net k_pp_regs_27_sn_N_8 (joined
           (portRef k_pp_regs_27_sn_N_8 (instanceRef regs))
           (portRef k_pp_regs_27_sn_N_8 (instanceRef alu))
          ))
          (net N_1451 (joined
           (portRef N_1451 (instanceRef regs))
           (portRef N_1451 (instanceRef alu))
          ))
          (net un1_k_pp_regs_7_sqmuxa (joined
           (portRef un1_k_pp_regs_7_sqmuxa (instanceRef regs))
           (portRef un1_k_pp_regs_7_sqmuxa (instanceRef alu))
          ))
          (net k_clear_e_3 (joined
           (portRef k_clear_e_3 (instanceRef alu))
           (portRef k_clear_e_3 (instanceRef regs))
          ))
          (net N_1765_i (joined
           (portRef N_1765_i (instanceRef regs))
           (portRef N_1765_i (instanceRef alu))
          ))
          (net CCRo_sn_N_2 (joined
           (portRef CCRo_sn_N_2 (instanceRef alu))
           (portRef CCRo_sn_N_2 (instanceRef regs))
          ))
          (net N_1950_2 (joined
           (portRef N_1950_2 (instanceRef regs))
           (portRef N_1950_2 (instanceRef alu))
          ))
          (net k_new_pc_17_sn_N_6_muxf (joined
           (portRef k_new_pc_17_sn_N_6_muxf (instanceRef alu))
           (portRef k_new_pc_17_sn_N_6_muxf (instanceRef regs))
          ))
          (net k_new_pc_17_sn_N_6_mux (joined
           (portRef k_new_pc_17_sn_N_6_mux (instanceRef dec_regs))
           (portRef k_new_pc_17_sn_N_6_mux (instanceRef alu))
          ))
          (net dec_o_ea_indirectf (joined
           (portRef dec_o_ea_indirectf (instanceRef alu))
           (portRef dec_o_ea_indirectf (instanceRef regs))
          ))
          (net dec_o_ea_indirect (joined
           (portRef dec_o_ea_indirect (instanceRef dec_ea))
           (portRef dec_o_ea_indirect (instanceRef alu))
          ))
          (net state85 (joined
           (portRef state85 (instanceRef dec_regs))
           (portRef state85 (instanceRef alu))
          ))
          (net N_47_i (joined
           (portRef N_47_i (instanceRef regs))
           (portRef N_47_i (instanceRef alu))
          ))
          (net cff_1_sqmuxa_1_RNIJDPB1 (joined
           (portRef cff_1_sqmuxa_1_RNIJDPB1 (instanceRef regs))
           (portRef cff_1_sqmuxa_1_RNIJDPB1 (instanceRef alu))
          ))
          (net N_350 (joined
           (portRef N_350 (instanceRef regs))
           (portRef N_350 (instanceRef alu))
          ))
          (net N_349 (joined
           (portRef N_349 (instanceRef regs))
           (portRef N_349 (instanceRef alu))
          ))
          (net N_333 (joined
           (portRef N_333 (instanceRef regs))
           (portRef N_333 (instanceRef alu))
          ))
          (net N_332 (joined
           (portRef N_332 (instanceRef regs))
           (portRef N_332 (instanceRef alu))
          ))
          (net datamux_N_5 (joined
           (portRef datamux_N_5 (instanceRef alu))
           (portRef datamux_N_5 (instanceRef regs))
          ))
          (net N_331 (joined
           (portRef N_331 (instanceRef regs))
           (portRef N_331 (instanceRef alu))
          ))
          (net N_345 (joined
           (portRef N_345 (instanceRef regs))
           (portRef N_345 (instanceRef alu))
          ))
          (net un1_cen_o_i (joined
           (portRef un1_cen_o_i (instanceRef alu))
           (portRef un1_cen_o_i)
          ))
          (net datamux_o_alu_in_left_path_data6 (joined
           (portRef datamux_o_alu_in_left_path_data6 (instanceRef regs))
           (portRef datamux_o_alu_in_left_path_data6 (instanceRef alu))
          ))
          (net N_106 (joined
           (portRef N_106 (instanceRef dec_regs))
           (portRef N_106 (instanceRef dec_op))
           (portRef N_106 (instanceRef alu))
          ))
          (net N_180_1 (joined
           (portRef N_180_1 (instanceRef regs))
           (portRef N_180_1 (instanceRef alu))
          ))
          (net N_1993 (joined
           (portRef N_1993 (instanceRef regs))
           (portRef N_1993 (instanceRef alu))
          ))
          (net N_23_0 (joined
           (portRef N_23 (instanceRef dec_alu))
           (portRef N_23 (instanceRef alu))
          ))
          (net un1_dec_o_write_flagsf (joined
           (portRef un1_dec_o_write_flagsf (instanceRef regs))
           (portRef un1_dec_o_write_flagsf (instanceRef test_cond))
           (portRef un1_dec_o_write_flagsf (instanceRef alu))
          ))
          (net un1_state_34 (joined
           (portRef un1_state_34 (instanceRef dec_regs))
           (portRef un1_state_34 (instanceRef regs))
           (portRef un1_state_34 (instanceRef alu))
          ))
          (net un1_state_57_2 (joined
           (portRef un1_state_57_2 (instanceRef dec_regs))
           (portRef un1_state_57_2 (instanceRef regs))
           (portRef un1_state_57_2 (instanceRef alu))
          ))
          (net un1_state_62 (joined
           (portRef un1_state_62 (instanceRef regs))
           (portRef un1_state_62 (instanceRef alu))
          ))
          (net un1_state_33 (joined
           (portRef un1_state_33 (instanceRef regs))
           (portRef un1_state_33 (instanceRef dec_regs))
           (portRef un1_state_33 (instanceRef alu))
          ))
          (net N_21_i (joined
           (portRef N_21_i (instanceRef alu))
           (portRef N_21_i)
          ))
          (net un1_state_73 (joined
           (portRef un1_state_73 (instanceRef alu))
           (portRef un1_state_73 (instanceRef dec_regs))
          ))
          (net state_2_sqmuxa_5 (joined
           (portRef state_2_sqmuxa_5 (instanceRef alu))
           (portRef state_2_sqmuxa_5 (instanceRef regs))
          ))
          (net N_1436 (joined
           (portRef N_1436 (instanceRef regs))
           (portRef N_1436 (instanceRef dec_regs))
           (portRef N_1436 (instanceRef alu))
          ))
          (net state160 (joined
           (portRef state160 (instanceRef regs))
           (portRef state160 (instanceRef alu))
          ))
          (net un1_state_84 (joined
           (portRef un1_state_84 (instanceRef alu))
           (portRef un1_state_84 (instanceRef dec_regs))
          ))
          (net un1_state_53 (joined
           (portRef un1_state_53 (instanceRef dec_regs))
           (portRef un1_state_53 (instanceRef alu))
          ))
          (net N_791 (joined
           (portRef N_791 (instanceRef dec_regs))
           (portRef N_791 (instanceRef regs))
           (portRef N_791 (instanceRef alu))
          ))
          (net cpu_reset_i_4 (joined
           (portRef cpu_reset_i_4 (instanceRef alu))
           (portRef cpu_reset_i_4 (instanceRef dec_op))
           (portRef cpu_reset_i_4 (instanceRef dec_regs))
           (portRef cpu_reset_i_4 (instanceRef regs))
          ))
          (net un1_state_59_1 (joined
           (portRef un1_state_59_1 (instanceRef regs))
           (portRef un1_state_59_1 (instanceRef alu))
          ))
          (net un1_state_19 (joined
           (portRef un1_state_19 (instanceRef alu))
           (portRef un1_state_19 (instanceRef regs))
          ))
          (net un1_state_18_sn (joined
           (portRef un1_state_18_sn (instanceRef alu))
           (portRef un1_state_18_sn (instanceRef regs))
          ))
          (net un1_state_21 (joined
           (portRef un1_state_21 (instanceRef alu))
           (portRef un1_state_21 (instanceRef regs))
          ))
          (net un1_state_38 (joined
           (portRef un1_state_38 (instanceRef alu))
           (portRef un1_state_38 (instanceRef regs))
          ))
          (net N_808_2 (joined
           (portRef N_808_2 (instanceRef dec_regs))
           (portRef N_808_2 (instanceRef regs))
           (portRef N_808_2 (instanceRef alu))
          ))
          (net un1_state_43 (joined
           (portRef un1_state_43 (instanceRef alu))
           (portRef un1_state_43 (instanceRef regs))
          ))
          (net N_721 (joined
           (portRef N_721 (instanceRef dec_regs))
           (portRef N_721 (instanceRef regs))
           (portRef N_721 (instanceRef alu))
          ))
          (net N_778_1 (joined
           (portRef N_778_1 (instanceRef regs))
           (portRef N_778_1 (instanceRef alu))
          ))
          (net N_766_2 (joined
           (portRef N_766_2 (instanceRef regs))
           (portRef N_766_2 (instanceRef alu))
          ))
          (net N_1245_2 (joined
           (portRef N_1245_2 (instanceRef regs))
           (portRef N_1245_2 (instanceRef alu))
          ))
          (net N_725 (joined
           (portRef N_725 (instanceRef dec_regs))
           (portRef N_725 (instanceRef regs))
           (portRef N_725 (instanceRef alu))
          ))
          (net un1_state_57_0 (joined
           (portRef un1_state_57_0 (instanceRef alu))
           (portRef un1_state_57_0 (instanceRef dec_regs))
           (portRef un1_state_57_0 (instanceRef regs))
          ))
          (net N_1262_1 (joined
           (portRef N_1262_1 (instanceRef regs))
           (portRef N_1262_1 (instanceRef dec_regs))
           (portRef N_1262_1 (instanceRef alu))
          ))
          (net un1_state_24_0 (joined
           (portRef un1_state_24_0 (instanceRef alu))
           (portRef un1_state_24_0 (instanceRef dec_regs))
           (portRef un1_state_24_0 (instanceRef regs))
          ))
          (net un1_state_20_0 (joined
           (portRef un1_state_20_0 (instanceRef alu))
           (portRef un1_state_20_0 (instanceRef regs))
          ))
          (net N_1260_1 (joined
           (portRef N_1260_1 (instanceRef dec_regs))
           (portRef N_1260_1 (instanceRef regs))
           (portRef N_1260_1 (instanceRef alu))
          ))
          (net k_pp_regs_3_sqmuxa_1_0_0 (joined
           (portRef k_pp_regs_3_sqmuxa_1_0_0 (instanceRef alu))
           (portRef k_pp_regs_3_sqmuxa_1_0_0 (instanceRef regs))
          ))
          (net (rename path_left_addr_cnst_1 "path_left_addr_cnst[1]") (joined
           (portRef (member path_left_addr_cnst 0) (instanceRef dec_regs))
           (portRef (member path_left_addr_cnst 0) (instanceRef regs))
          ))
          (net (rename path_left_addr_3_1 "path_left_addr_3[1]") (joined
           (portRef (member path_left_addr_3 0) (instanceRef dec_regs))
           (portRef (member path_left_addr_3 0) (instanceRef regs))
          ))
          (net (rename path_right_addr_4_0_a3_3_x_RNIS4H11_0 "path_right_addr_4_0_a3_3_x_RNIS4H11[0]") (joined
           (portRef (member path_right_addr_4_0_a3_3_x_rnis4h11 0) (instanceRef dec_regs))
           (portRef (member path_right_addr_4_0_a3_3_x_rnis4h11 0) (instanceRef regs))
          ))
          (net (rename k_pp_regs_cnv_4_0 "k_pp_regs_cnv_4[0]") (joined
           (portRef (member k_pp_regs_cnv_4 0) (instanceRef dec_regs))
           (portRef (member k_pp_regs_cnv_4 0) (instanceRef regs))
          ))
          (net (rename state_cnv_3_0 "state_cnv_3[0]") (joined
           (portRef (member state_cnv_3 0) (instanceRef regs))
           (portRef (member state_cnv_3 0) (instanceRef dec_regs))
          ))
          (net (rename k_pp_regs_cnv_7_0 "k_pp_regs_cnv_7[0]") (joined
           (portRef (member k_pp_regs_cnv_7 0) (instanceRef regs))
           (portRef (member k_pp_regs_cnv_7 0) (instanceRef dec_regs))
          ))
          (net (rename dec_o_left_path_addr_2 "dec_o_left_path_addr[2]") (joined
           (portRef dec_o_left_path_addr_2 (instanceRef dec_regs))
           (portRef dec_o_left_path_addr_2 (instanceRef regs))
          ))
          (net (rename dec_o_left_path_addr_0 "dec_o_left_path_addr[0]") (joined
           (portRef dec_o_left_path_addr_0 (instanceRef dec_regs))
           (portRef dec_o_left_path_addr_0 (instanceRef regs))
          ))
          (net (rename next_state_cnv_3_0 "next_state_cnv_3[0]") (joined
           (portRef (member next_state_cnv_3 0) (instanceRef regs))
           (portRef (member next_state_cnv_3 0) (instanceRef dec_regs))
          ))
          (net (rename dest_reg_3_0 "dest_reg_3[0]") (joined
           (portRef (member dest_reg_3 0) (instanceRef dec_regs))
           (portRef (member dest_reg_3 0) (instanceRef regs))
          ))
          (net (rename dec_o_dest_reg_addr_0 "dec_o_dest_reg_addr[0]") (joined
           (portRef (member dec_o_dest_reg_addr 3) (instanceRef dec_regs))
           (portRef (member dec_o_dest_reg_addr 3) (instanceRef regs))
          ))
          (net (rename dec_o_dest_reg_addr_1 "dec_o_dest_reg_addr[1]") (joined
           (portRef (member dec_o_dest_reg_addr 2) (instanceRef dec_regs))
           (portRef (member dec_o_dest_reg_addr 2) (instanceRef regs))
          ))
          (net (rename dec_o_dest_reg_addr_2 "dec_o_dest_reg_addr[2]") (joined
           (portRef (member dec_o_dest_reg_addr 1) (instanceRef dec_regs))
           (portRef (member dec_o_dest_reg_addr 1) (instanceRef regs))
          ))
          (net (rename next_push_state_i_m_1 "next_push_state_i_m[1]") (joined
           (portRef (member next_push_state_i_m 0) (instanceRef regs))
           (portRef (member next_push_state_i_m 0) (instanceRef dec_regs))
          ))
          (net (rename next_mem_state_i_m_5 "next_mem_state_i_m[5]") (joined
           (portRef (member next_mem_state_i_m 0) (instanceRef regs))
           (portRef (member next_mem_state_i_m 0) (instanceRef dec_regs))
          ))
          (net N_795 (joined
           (portRef N_795 (instanceRef dec_regs))
           (portRef N_795 (instanceRef regs))
          ))
          (net dec_o_ea_ofs8 (joined
           (portRef dec_o_ea_ofs8 (instanceRef dec_ea))
           (portRef dec_o_ea_ofs8 (instanceRef regs))
          ))
          (net N_21 (joined
           (portRef N_21 (instanceRef dec_ea))
           (portRef N_21 (instanceRef regs))
          ))
          (net un1_k_p2_valid9 (joined
           (portRef un1_k_p2_valid9 (instanceRef regs))
           (portRef un1_k_p2_valid9 (instanceRef dec_regs))
          ))
          (net un1_state_31_2 (joined
           (portRef un1_state_31_2 (instanceRef dec_regs))
           (portRef un1_state_31_2 (instanceRef regs))
          ))
          (net N_1255 (joined
           (portRef N_1255 (instanceRef dec_regs))
           (portRef N_1255 (instanceRef regs))
          ))
          (net N_771 (joined
           (portRef N_771 (instanceRef dec_regs))
           (portRef N_771 (instanceRef regs))
          ))
          (net N_804 (joined
           (portRef N_804 (instanceRef dec_regs))
           (portRef N_804 (instanceRef regs))
          ))
          (net N_787 (joined
           (portRef N_787 (instanceRef dec_regs))
           (portRef N_787 (instanceRef regs))
          ))
          (net un1_k_opcode_3 (joined
           (portRef un1_k_opcode_3 (instanceRef dec_regs))
           (portRef un1_k_opcode_3 (instanceRef regs))
          ))
          (net N_824 (joined
           (portRef N_824 (instanceRef dec_regs))
           (portRef N_824 (instanceRef regs))
          ))
          (net N_736 (joined
           (portRef N_736 (instanceRef dec_regs))
           (portRef N_736 (instanceRef regs))
          ))
          (net N_794 (joined
           (portRef N_794 (instanceRef dec_regs))
           (portRef N_794 (instanceRef regs))
          ))
          (net N_767 (joined
           (portRef N_767 (instanceRef dec_regs))
           (portRef N_767 (instanceRef regs))
          ))
          (net state119 (joined
           (portRef state119 (instanceRef regs))
           (portRef state119 (instanceRef dec_regs))
          ))
          (net un1_state_72 (joined
           (portRef un1_state_72 (instanceRef dec_regs))
           (portRef un1_state_72 (instanceRef regs))
          ))
          (net un1_state_1_sqmuxa (joined
           (portRef un1_state_1_sqmuxa (instanceRef regs))
           (portRef un1_state_1_sqmuxa (instanceRef dec_regs))
          ))
          (net un1_state_20_1 (joined
           (portRef un1_state_20_1 (instanceRef dec_regs))
           (portRef un1_state_20_1 (instanceRef regs))
          ))
          (net N_754 (joined
           (portRef N_754 (instanceRef dec_regs))
           (portRef N_754 (instanceRef regs))
          ))
          (net N_828 (joined
           (portRef N_828 (instanceRef dec_regs))
           (portRef N_828 (instanceRef regs))
          ))
          (net N_734_i (joined
           (portRef N_734_i (instanceRef dec_regs))
           (portRef N_734_i (instanceRef regs))
          ))
          (net N_1864 (joined
           (portRef N_1864 (instanceRef dec_regs))
           (portRef N_1864 (instanceRef regs))
          ))
          (net state68 (joined
           (portRef state68 (instanceRef dec_regs))
           (portRef state68 (instanceRef regs))
          ))
          (net N_823 (joined
           (portRef N_823 (instanceRef dec_regs))
           (portRef N_823 (instanceRef regs))
          ))
          (net N_847 (joined
           (portRef N_847 (instanceRef dec_regs))
           (portRef N_847 (instanceRef regs))
          ))
          (net k_pp_regs_11_sqmuxa (joined
           (portRef k_pp_regs_11_sqmuxa (instanceRef dec_regs))
           (portRef k_pp_regs_11_sqmuxa (instanceRef regs))
          ))
          (net un3_dest_flags_1 (joined
           (portRef un3_dest_flags_1 (instanceRef dec_alu))
           (portRef un3_dest_flags_1 (instanceRef regs))
          ))
          (net un1_next_state_1_sqmuxa (joined
           (portRef un1_next_state_1_sqmuxa (instanceRef regs))
           (portRef un1_next_state_1_sqmuxa (instanceRef dec_regs))
          ))
          (net un1_state_110 (joined
           (portRef un1_state_110 (instanceRef dec_regs))
           (portRef un1_state_110 (instanceRef regs))
          ))
          (net cpu_clk_i (joined
           (portRef cpu_clk_i)
           (portRef cpu_clk_i (instanceRef regs))
           (portRef cpu_clk_i (instanceRef alu))
          ))
          (net N_20 (joined
           (portRef N_20 (instanceRef dec_regs))
           (portRef N_20 (instanceRef regs))
          ))
          (net N_260 (joined
           (portRef N_260 (instanceRef dec_regs))
           (portRef N_260 (instanceRef regs))
          ))
          (net N_826 (joined
           (portRef N_826 (instanceRef dec_regs))
           (portRef N_826 (instanceRef regs))
          ))
          (net N_733 (joined
           (portRef N_733 (instanceRef regs))
           (portRef N_733 (instanceRef dec_regs))
          ))
          (net N_821 (joined
           (portRef N_821 (instanceRef dec_regs))
           (portRef N_821 (instanceRef regs))
          ))
          (net un1_state_15 (joined
           (portRef un1_state_15 (instanceRef regs))
           (portRef un1_state_15 (instanceRef dec_regs))
          ))
          (net un1_state_59 (joined
           (portRef un1_state_59 (instanceRef regs))
           (portRef un1_state_59 (instanceRef dec_regs))
          ))
          (net N_720 (joined
           (portRef N_720 (instanceRef regs))
           (portRef N_720 (instanceRef dec_regs))
          ))
          (net ofs16_2 (joined
           (portRef ofs16_2 (instanceRef dec_ea))
           (portRef ofs16_2 (instanceRef regs))
          ))
          (net (rename un1_postbyte0_1_1_0 "un1_postbyte0_1_1[0]") (joined
           (portRef (member un1_postbyte0_1_1 0) (instanceRef dec_alu))
           (portRef (member un1_postbyte0_1_1 0) (instanceRef dec_regs))
          ))
          (net N_75 (joined
           (portRef N_75 (instanceRef dec_op))
           (portRef N_75 (instanceRef dec_regs))
          ))
          (net state68_4 (joined
           (portRef state68_4 (instanceRef dec_op))
           (portRef state68_4 (instanceRef dec_regs))
          ))
          (net N_50 (joined
           (portRef N_50 (instanceRef dec_alu))
           (portRef N_50 (instanceRef dec_regs))
          ))
          (net N_69 (joined
           (portRef N_69 (instanceRef dec_regs))
           (portRef N_69 (instanceRef dec_op))
          ))
          (net N_64 (joined
           (portRef N_64 (instanceRef dec_regs))
           (portRef N_64 (instanceRef dec_op))
          ))
          (net N_2 (joined
           (portRef N_2 (instanceRef dec_op))
           (portRef N_2 (instanceRef dec_regs))
          ))
          (net mode79 (joined
           (portRef mode79 (instanceRef dec_op))
           (portRef mode79 (instanceRef dec_regs))
          ))
          (net mode76_1 (joined
           (portRef mode76_1 (instanceRef dec_alu))
           (portRef mode76_1 (instanceRef dec_op))
           (portRef mode76_1 (instanceRef dec_regs))
          ))
          (net mode79_5 (joined
           (portRef mode79_5 (instanceRef dec_op))
           (portRef mode79_5 (instanceRef dec_alu))
           (portRef mode79_5 (instanceRef dec_regs))
          ))
          (net (rename optype31_0 "optype31[0]") (joined
           (portRef (member optype31 0) (instanceRef dec_alu))
           (portRef (member optype31 0) (instanceRef dec_op))
          ))
          (net mode52_1 (joined
           (portRef mode52_1 (instanceRef dec_alu))
           (portRef mode52_1 (instanceRef dec_op))
          ))
          (net dec_o_cond_taken (joined
           (portRef dec_o_cond_taken (instanceRef test_cond))
           (portRef dec_o_cond_taken (instanceRef dec_op))
          ))
          (net N_1 (joined
           (portRef CIN (instanceRef k_cpu_addr_cry_0_0))
          ))
          (net N_3 (joined
           (portRef CIN (instanceRef un1_regs_o_pc_cry_0_0))
          ))
         )
        (property orig_inst_of (string "MC6809_cpu"))
       )
    )
    (cell CC3_top (cellType GENERIC)
       (view verilog (viewType NETLIST)
         (interface
           (port clk40_i (direction INPUT))
           (port cpuclk_o (direction OUTPUT))
           (port reset_o (direction OUTPUT))
           (port (array (rename addr_o "addr_o[15:0]") 16) (direction OUTPUT))
           (port oen_o (direction OUTPUT))
           (port wen_o (direction OUTPUT))
           (port cen_o (direction OUTPUT))
           (port (array (rename data_io "data_io[7:0]") 8) (direction OUTPUT))
           (port (array (rename state_o "state_o[5:0]") 6) (direction OUTPUT))
           (port (array (rename leds_o "leds_o[7:0]") 8) (direction OUTPUT))
           (port hsync_o (direction OUTPUT))
           (port vsync_o (direction OUTPUT))
           (port red_o (direction OUTPUT))
           (port green_o (direction OUTPUT))
           (port blue_o (direction OUTPUT))
         )
         (contents
          (instance GND (viewRef PRIM (cellRef VLO (libraryRef LUCENT)))          )
          (instance VCC (viewRef PRIM (cellRef VHI (libraryRef LUCENT)))          )
          (instance GSR_INST (viewRef PRIM (cellRef GSR (libraryRef LUCENT)))
          )
          (instance cpu_clk_RNI1SG4 (viewRef PRIM (cellRef INV (libraryRef LUCENT)))          )
          (instance (rename leds_r_0io_0 "leds_r_0io[0]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
           (property IOB (string "FALSE"))
          )
          (instance (rename leds_r_0io_1 "leds_r_0io[1]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
           (property IOB (string "FALSE"))
          )
          (instance (rename leds_r_0io_2 "leds_r_0io[2]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
           (property IOB (string "FALSE"))
          )
          (instance (rename leds_r_0io_3 "leds_r_0io[3]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
           (property IOB (string "FALSE"))
          )
          (instance (rename leds_r_0io_4 "leds_r_0io[4]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
           (property IOB (string "FALSE"))
          )
          (instance (rename leds_r_0io_5 "leds_r_0io[5]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
           (property IOB (string "FALSE"))
          )
          (instance (rename leds_r_0io_6 "leds_r_0io[6]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
           (property IOB (string "FALSE"))
          )
          (instance (rename leds_r_0io_7 "leds_r_0io[7]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
           (property IOB (string "FALSE"))
          )
          (instance (rename reset_cnt_0 "reset_cnt[0]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename reset_cnt_1 "reset_cnt[1]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename reset_cnt_2 "reset_cnt[2]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance (rename reset_cnt_3 "reset_cnt[3]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
          )
          (instance cpu_clk (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
          )
          (instance blue_o_pad (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
          (instance green_o_pad (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
          (instance red_o_pad (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
          (instance vsync_o_pad (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
          (instance hsync_o_pad (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
          (instance (rename leds_o_pad_7 "leds_o_pad[7]") (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
          (instance (rename leds_o_pad_6 "leds_o_pad[6]") (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
          (instance (rename leds_o_pad_5 "leds_o_pad[5]") (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
          (instance (rename leds_o_pad_4 "leds_o_pad[4]") (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
          (instance (rename leds_o_pad_3 "leds_o_pad[3]") (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
          (instance (rename leds_o_pad_2 "leds_o_pad[2]") (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
          (instance (rename leds_o_pad_1 "leds_o_pad[1]") (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
          (instance (rename leds_o_pad_0 "leds_o_pad[0]") (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
          (instance (rename state_o_pad_5 "state_o_pad[5]") (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
          (instance (rename state_o_pad_4 "state_o_pad[4]") (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
          (instance (rename state_o_pad_3 "state_o_pad[3]") (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
          (instance (rename state_o_pad_2 "state_o_pad[2]") (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
          (instance (rename state_o_pad_1 "state_o_pad[1]") (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
          (instance (rename state_o_pad_0 "state_o_pad[0]") (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
          (instance (rename data_io_pad_7 "data_io_pad[7]") (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
          (instance (rename data_io_pad_6 "data_io_pad[6]") (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
          (instance (rename data_io_pad_5 "data_io_pad[5]") (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
          (instance (rename data_io_pad_4 "data_io_pad[4]") (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
          (instance (rename data_io_pad_3 "data_io_pad[3]") (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
          (instance (rename data_io_pad_2 "data_io_pad[2]") (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
          (instance (rename data_io_pad_1 "data_io_pad[1]") (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
          (instance (rename data_io_pad_0 "data_io_pad[0]") (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
          (instance cen_o_pad (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
          (instance wen_o_pad (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
          (instance oen_o_pad (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
          (instance (rename addr_o_pad_15 "addr_o_pad[15]") (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
          (instance (rename addr_o_pad_14 "addr_o_pad[14]") (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
          (instance (rename addr_o_pad_13 "addr_o_pad[13]") (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
          (instance (rename addr_o_pad_12 "addr_o_pad[12]") (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
          (instance (rename addr_o_pad_11 "addr_o_pad[11]") (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
          (instance (rename addr_o_pad_10 "addr_o_pad[10]") (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
          (instance (rename addr_o_pad_9 "addr_o_pad[9]") (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
          (instance (rename addr_o_pad_8 "addr_o_pad[8]") (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
          (instance (rename addr_o_pad_7 "addr_o_pad[7]") (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
          (instance (rename addr_o_pad_6 "addr_o_pad[6]") (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
          (instance (rename addr_o_pad_5 "addr_o_pad[5]") (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
          (instance (rename addr_o_pad_4 "addr_o_pad[4]") (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
          (instance (rename addr_o_pad_3 "addr_o_pad[3]") (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
          (instance (rename addr_o_pad_2 "addr_o_pad[2]") (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
          (instance (rename addr_o_pad_1 "addr_o_pad[1]") (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
          (instance (rename addr_o_pad_0 "addr_o_pad[0]") (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
          (instance reset_o_pad (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
          (instance cpuclk_o_pad (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
          (instance clk40_i_pad (viewRef PRIM (cellRef IB (libraryRef LUCENT)))
          )
          (instance cpu0 (viewRef netlist (cellRef MC6809_cpu))
          )
          (instance bios (viewRef netlist (cellRef bios2k))
          )
          (net cpu0_we (joined
           (portRef cpu0_we (instanceRef cpu0))
           (portRef cpu0_we (instanceRef bios))
          ))
          (net (rename cpu0_data_in_0 "cpu0_data_in[0]") (joined
           (portRef (member cpu0_data_in 7) (instanceRef bios))
           (portRef (member cpu0_data_in 7) (instanceRef cpu0))
          ))
          (net (rename cpu0_data_in_1 "cpu0_data_in[1]") (joined
           (portRef (member cpu0_data_in 6) (instanceRef bios))
           (portRef (member cpu0_data_in 6) (instanceRef cpu0))
          ))
          (net (rename cpu0_data_in_2 "cpu0_data_in[2]") (joined
           (portRef (member cpu0_data_in 5) (instanceRef bios))
           (portRef (member cpu0_data_in 5) (instanceRef cpu0))
          ))
          (net (rename cpu0_data_in_3 "cpu0_data_in[3]") (joined
           (portRef (member cpu0_data_in 4) (instanceRef bios))
           (portRef (member cpu0_data_in 4) (instanceRef cpu0))
          ))
          (net (rename cpu0_data_in_4 "cpu0_data_in[4]") (joined
           (portRef (member cpu0_data_in 3) (instanceRef bios))
           (portRef (member cpu0_data_in 3) (instanceRef cpu0))
          ))
          (net (rename cpu0_data_in_5 "cpu0_data_in[5]") (joined
           (portRef (member cpu0_data_in 2) (instanceRef bios))
           (portRef (member cpu0_data_in 2) (instanceRef cpu0))
          ))
          (net (rename cpu0_data_in_6 "cpu0_data_in[6]") (joined
           (portRef (member cpu0_data_in 1) (instanceRef bios))
           (portRef (member cpu0_data_in 1) (instanceRef cpu0))
          ))
          (net (rename cpu0_data_in_7 "cpu0_data_in[7]") (joined
           (portRef (member cpu0_data_in 0) (instanceRef bios))
           (portRef (member cpu0_data_in 0) (instanceRef cpu0))
          ))
          (net (rename cpu0_data_out_0 "cpu0_data_out[0]") (joined
           (portRef (member cpu0_data_out 7) (instanceRef cpu0))
           (portRef (member cpu0_data_out 7) (instanceRef bios))
           (portRef D (instanceRef leds_r_0io_0))
          ))
          (net (rename cpu0_data_out_1 "cpu0_data_out[1]") (joined
           (portRef (member cpu0_data_out 6) (instanceRef cpu0))
           (portRef (member cpu0_data_out 6) (instanceRef bios))
           (portRef D (instanceRef leds_r_0io_1))
          ))
          (net (rename cpu0_data_out_2 "cpu0_data_out[2]") (joined
           (portRef (member cpu0_data_out 5) (instanceRef cpu0))
           (portRef (member cpu0_data_out 5) (instanceRef bios))
           (portRef D (instanceRef leds_r_0io_2))
          ))
          (net (rename cpu0_data_out_3 "cpu0_data_out[3]") (joined
           (portRef (member cpu0_data_out 4) (instanceRef cpu0))
           (portRef (member cpu0_data_out 4) (instanceRef bios))
           (portRef D (instanceRef leds_r_0io_3))
          ))
          (net (rename cpu0_data_out_4 "cpu0_data_out[4]") (joined
           (portRef (member cpu0_data_out 3) (instanceRef cpu0))
           (portRef (member cpu0_data_out 3) (instanceRef bios))
           (portRef D (instanceRef leds_r_0io_4))
          ))
          (net (rename cpu0_data_out_5 "cpu0_data_out[5]") (joined
           (portRef (member cpu0_data_out 2) (instanceRef cpu0))
           (portRef (member cpu0_data_out 2) (instanceRef bios))
           (portRef D (instanceRef leds_r_0io_5))
          ))
          (net (rename cpu0_data_out_6 "cpu0_data_out[6]") (joined
           (portRef (member cpu0_data_out 1) (instanceRef cpu0))
           (portRef (member cpu0_data_out 1) (instanceRef bios))
           (portRef D (instanceRef leds_r_0io_6))
          ))
          (net (rename cpu0_data_out_7 "cpu0_data_out[7]") (joined
           (portRef (member cpu0_data_out 0) (instanceRef cpu0))
           (portRef (member cpu0_data_out 0) (instanceRef bios))
           (portRef D (instanceRef leds_r_0io_7))
          ))
          (net un1_cen_o_0 (joined
           (portRef un1_cen_o_0 (instanceRef cpu0))
           (portRef un1_cen_o_0 (instanceRef bios))
          ))
          (net (rename reset_cnt_0 "reset_cnt[0]") (joined
           (portRef Q (instanceRef reset_cnt_0))
           (portRef (member reset_cnt 3) (instanceRef cpu0))
          ))
          (net (rename reset_cnt_1 "reset_cnt[1]") (joined
           (portRef Q (instanceRef reset_cnt_1))
           (portRef (member reset_cnt 2) (instanceRef cpu0))
          ))
          (net (rename reset_cnt_2 "reset_cnt[2]") (joined
           (portRef Q (instanceRef reset_cnt_2))
           (portRef (member reset_cnt 1) (instanceRef cpu0))
          ))
          (net (rename reset_cnt_3 "reset_cnt[3]") (joined
           (portRef Q (instanceRef reset_cnt_3))
           (portRef (member reset_cnt 0) (instanceRef cpu0))
          ))
          (net (rename reset_cnt_1_1 "reset_cnt_1[1]") (joined
           (portRef (member reset_cnt_1 2) (instanceRef cpu0))
           (portRef D (instanceRef reset_cnt_1))
          ))
          (net (rename reset_cnt_1_2 "reset_cnt_1[2]") (joined
           (portRef (member reset_cnt_1 1) (instanceRef cpu0))
           (portRef D (instanceRef reset_cnt_2))
          ))
          (net (rename reset_cnt_1_3 "reset_cnt_1[3]") (joined
           (portRef (member reset_cnt_1 0) (instanceRef cpu0))
           (portRef D (instanceRef reset_cnt_3))
          ))
          (net (rename cpu_clk_derived_clockgen "cpu_clkgen") (joined
           (portRef cpu_clkgen (instanceRef cpu0))
           (portRef CK (instanceRef reset_cnt_3))
           (portRef CK (instanceRef reset_cnt_2))
           (portRef CK (instanceRef reset_cnt_1))
           (portRef CK (instanceRef reset_cnt_0))
           (portRef SCLK (instanceRef leds_r_0io_7))
           (portRef SCLK (instanceRef leds_r_0io_6))
           (portRef SCLK (instanceRef leds_r_0io_5))
           (portRef SCLK (instanceRef leds_r_0io_4))
           (portRef SCLK (instanceRef leds_r_0io_3))
           (portRef SCLK (instanceRef leds_r_0io_2))
           (portRef SCLK (instanceRef leds_r_0io_1))
           (portRef SCLK (instanceRef leds_r_0io_0))
           (portRef O (instanceRef clk40_i_pad))
           (portRef clk40_i_c (instanceRef bios))
           (portRef CK (instanceRef cpu_clk))
          ))
          (net (rename leds_r_cnv_0 "leds_r_cnv[0]") (joined
           (portRef (member leds_r_cnv 0) (instanceRef cpu0))
           (portRef SP (instanceRef leds_r_0io_7))
           (portRef SP (instanceRef leds_r_0io_6))
           (portRef SP (instanceRef leds_r_0io_5))
           (portRef SP (instanceRef leds_r_0io_4))
           (portRef SP (instanceRef leds_r_0io_3))
           (portRef SP (instanceRef leds_r_0io_2))
           (portRef SP (instanceRef leds_r_0io_1))
           (portRef SP (instanceRef leds_r_0io_0))
          ))
          (net cpu_clk (joined
           (portRef Q (instanceRef cpu_clk))
           (portRef cpu_clk (instanceRef cpu0))
           (portRef I (instanceRef cpuclk_o_pad))
           (portRef A (instanceRef cpu_clk_RNI1SG4))
          ))
          (net (rename leds_r_0 "leds_r[0]") (joined
           (portRef Q (instanceRef leds_r_0io_0))
           (portRef I (instanceRef leds_o_pad_0))
          ))
          (net (rename leds_r_1 "leds_r[1]") (joined
           (portRef Q (instanceRef leds_r_0io_1))
           (portRef I (instanceRef leds_o_pad_1))
          ))
          (net (rename leds_r_2 "leds_r[2]") (joined
           (portRef Q (instanceRef leds_r_0io_2))
           (portRef I (instanceRef leds_o_pad_2))
          ))
          (net (rename leds_r_3 "leds_r[3]") (joined
           (portRef Q (instanceRef leds_r_0io_3))
           (portRef I (instanceRef leds_o_pad_3))
          ))
          (net (rename leds_r_4 "leds_r[4]") (joined
           (portRef Q (instanceRef leds_r_0io_4))
           (portRef I (instanceRef leds_o_pad_4))
          ))
          (net (rename leds_r_5 "leds_r[5]") (joined
           (portRef Q (instanceRef leds_r_0io_5))
           (portRef I (instanceRef leds_o_pad_5))
          ))
          (net (rename leds_r_6 "leds_r[6]") (joined
           (portRef Q (instanceRef leds_r_0io_6))
           (portRef I (instanceRef leds_o_pad_6))
          ))
          (net (rename leds_r_7 "leds_r[7]") (joined
           (portRef Q (instanceRef leds_r_0io_7))
           (portRef I (instanceRef leds_o_pad_7))
          ))
          (net N_21_i (joined
           (portRef N_21_i (instanceRef cpu0))
           (portRef D (instanceRef reset_cnt_0))
          ))
          (net VCC (joined
           (portRef Z (instanceRef VCC))
           (portRef GSR (instanceRef GSR_INST))
          ))
          (net GND (joined
           (portRef Z (instanceRef GND))
           (portRef I (instanceRef hsync_o_pad))
           (portRef I (instanceRef vsync_o_pad))
           (portRef I (instanceRef red_o_pad))
           (portRef I (instanceRef green_o_pad))
           (portRef I (instanceRef blue_o_pad))
           (portRef CD (instanceRef leds_r_0io_7))
           (portRef CD (instanceRef leds_r_0io_6))
           (portRef CD (instanceRef leds_r_0io_5))
           (portRef CD (instanceRef leds_r_0io_4))
           (portRef CD (instanceRef leds_r_0io_3))
           (portRef CD (instanceRef leds_r_0io_2))
           (portRef CD (instanceRef leds_r_0io_1))
           (portRef CD (instanceRef leds_r_0io_0))
          ))
          (net clk40_i (joined
           (portRef clk40_i)
           (portRef I (instanceRef clk40_i_pad))
          ))
          (net cpuclk_o (joined
           (portRef O (instanceRef cpuclk_o_pad))
           (portRef cpuclk_o)
          ))
          (net reset_o (joined
           (portRef O (instanceRef reset_o_pad))
           (portRef reset_o)
          ))
          (net (rename addr_o_c_0 "addr_o_c[0]") (joined
           (portRef (member addr_o_c 15) (instanceRef cpu0))
           (portRef (member addr_o_c 10) (instanceRef bios))
           (portRef I (instanceRef addr_o_pad_0))
          ))
          (net (rename addr_o_0 "addr_o[0]") (joined
           (portRef O (instanceRef addr_o_pad_0))
           (portRef (member addr_o 15))
          ))
          (net (rename addr_o_c_1 "addr_o_c[1]") (joined
           (portRef (member addr_o_c 14) (instanceRef cpu0))
           (portRef (member addr_o_c 9) (instanceRef bios))
           (portRef I (instanceRef addr_o_pad_1))
          ))
          (net (rename addr_o_1 "addr_o[1]") (joined
           (portRef O (instanceRef addr_o_pad_1))
           (portRef (member addr_o 14))
          ))
          (net (rename addr_o_c_2 "addr_o_c[2]") (joined
           (portRef (member addr_o_c 13) (instanceRef cpu0))
           (portRef (member addr_o_c 8) (instanceRef bios))
           (portRef I (instanceRef addr_o_pad_2))
          ))
          (net (rename addr_o_2 "addr_o[2]") (joined
           (portRef O (instanceRef addr_o_pad_2))
           (portRef (member addr_o 13))
          ))
          (net (rename addr_o_c_3 "addr_o_c[3]") (joined
           (portRef (member addr_o_c 12) (instanceRef cpu0))
           (portRef (member addr_o_c 7) (instanceRef bios))
           (portRef I (instanceRef addr_o_pad_3))
          ))
          (net (rename addr_o_3 "addr_o[3]") (joined
           (portRef O (instanceRef addr_o_pad_3))
           (portRef (member addr_o 12))
          ))
          (net (rename addr_o_c_4 "addr_o_c[4]") (joined
           (portRef (member addr_o_c 11) (instanceRef cpu0))
           (portRef (member addr_o_c 6) (instanceRef bios))
           (portRef I (instanceRef addr_o_pad_4))
          ))
          (net (rename addr_o_4 "addr_o[4]") (joined
           (portRef O (instanceRef addr_o_pad_4))
           (portRef (member addr_o 11))
          ))
          (net (rename addr_o_c_5 "addr_o_c[5]") (joined
           (portRef (member addr_o_c 10) (instanceRef cpu0))
           (portRef (member addr_o_c 5) (instanceRef bios))
           (portRef I (instanceRef addr_o_pad_5))
          ))
          (net (rename addr_o_5 "addr_o[5]") (joined
           (portRef O (instanceRef addr_o_pad_5))
           (portRef (member addr_o 10))
          ))
          (net (rename addr_o_c_6 "addr_o_c[6]") (joined
           (portRef (member addr_o_c 9) (instanceRef cpu0))
           (portRef (member addr_o_c 4) (instanceRef bios))
           (portRef I (instanceRef addr_o_pad_6))
          ))
          (net (rename addr_o_6 "addr_o[6]") (joined
           (portRef O (instanceRef addr_o_pad_6))
           (portRef (member addr_o 9))
          ))
          (net (rename addr_o_c_7 "addr_o_c[7]") (joined
           (portRef (member addr_o_c 8) (instanceRef cpu0))
           (portRef (member addr_o_c 3) (instanceRef bios))
           (portRef I (instanceRef addr_o_pad_7))
          ))
          (net (rename addr_o_7 "addr_o[7]") (joined
           (portRef O (instanceRef addr_o_pad_7))
           (portRef (member addr_o 8))
          ))
          (net (rename addr_o_c_8 "addr_o_c[8]") (joined
           (portRef (member addr_o_c 7) (instanceRef cpu0))
           (portRef (member addr_o_c 2) (instanceRef bios))
           (portRef I (instanceRef addr_o_pad_8))
          ))
          (net (rename addr_o_8 "addr_o[8]") (joined
           (portRef O (instanceRef addr_o_pad_8))
           (portRef (member addr_o 7))
          ))
          (net (rename addr_o_c_9 "addr_o_c[9]") (joined
           (portRef (member addr_o_c 6) (instanceRef cpu0))
           (portRef (member addr_o_c 1) (instanceRef bios))
           (portRef I (instanceRef addr_o_pad_9))
          ))
          (net (rename addr_o_9 "addr_o[9]") (joined
           (portRef O (instanceRef addr_o_pad_9))
           (portRef (member addr_o 6))
          ))
          (net (rename addr_o_c_10 "addr_o_c[10]") (joined
           (portRef (member addr_o_c 5) (instanceRef cpu0))
           (portRef (member addr_o_c 0) (instanceRef bios))
           (portRef I (instanceRef addr_o_pad_10))
          ))
          (net (rename addr_o_10 "addr_o[10]") (joined
           (portRef O (instanceRef addr_o_pad_10))
           (portRef (member addr_o 5))
          ))
          (net (rename addr_o_c_11 "addr_o_c[11]") (joined
           (portRef (member addr_o_c 4) (instanceRef cpu0))
           (portRef I (instanceRef addr_o_pad_11))
          ))
          (net (rename addr_o_11 "addr_o[11]") (joined
           (portRef O (instanceRef addr_o_pad_11))
           (portRef (member addr_o 4))
          ))
          (net (rename addr_o_c_12 "addr_o_c[12]") (joined
           (portRef (member addr_o_c 3) (instanceRef cpu0))
           (portRef I (instanceRef addr_o_pad_12))
          ))
          (net (rename addr_o_12 "addr_o[12]") (joined
           (portRef O (instanceRef addr_o_pad_12))
           (portRef (member addr_o 3))
          ))
          (net (rename addr_o_c_13 "addr_o_c[13]") (joined
           (portRef (member addr_o_c 2) (instanceRef cpu0))
           (portRef I (instanceRef addr_o_pad_13))
          ))
          (net (rename addr_o_13 "addr_o[13]") (joined
           (portRef O (instanceRef addr_o_pad_13))
           (portRef (member addr_o 2))
          ))
          (net (rename addr_o_c_14 "addr_o_c[14]") (joined
           (portRef (member addr_o_c 1) (instanceRef cpu0))
           (portRef I (instanceRef addr_o_pad_14))
          ))
          (net (rename addr_o_14 "addr_o[14]") (joined
           (portRef O (instanceRef addr_o_pad_14))
           (portRef (member addr_o 1))
          ))
          (net (rename addr_o_c_15 "addr_o_c[15]") (joined
           (portRef (member addr_o_c 0) (instanceRef cpu0))
           (portRef I (instanceRef addr_o_pad_15))
          ))
          (net (rename addr_o_15 "addr_o[15]") (joined
           (portRef O (instanceRef addr_o_pad_15))
           (portRef (member addr_o 0))
          ))
          (net oen_o (joined
           (portRef O (instanceRef oen_o_pad))
           (portRef oen_o)
          ))
          (net wen_o (joined
           (portRef O (instanceRef wen_o_pad))
           (portRef wen_o)
          ))
          (net cen_o (joined
           (portRef O (instanceRef cen_o_pad))
           (portRef cen_o)
          ))
          (net (rename data_io_c_0 "data_io_c[0]") (joined
           (portRef (member data_io_c 7) (instanceRef cpu0))
           (portRef I (instanceRef data_io_pad_0))
          ))
          (net (rename data_io_0 "data_io[0]") (joined
           (portRef O (instanceRef data_io_pad_0))
           (portRef (member data_io 7))
          ))
          (net (rename data_io_c_1 "data_io_c[1]") (joined
           (portRef (member data_io_c 6) (instanceRef cpu0))
           (portRef I (instanceRef data_io_pad_1))
          ))
          (net (rename data_io_1 "data_io[1]") (joined
           (portRef O (instanceRef data_io_pad_1))
           (portRef (member data_io 6))
          ))
          (net (rename data_io_c_2 "data_io_c[2]") (joined
           (portRef (member data_io_c 5) (instanceRef cpu0))
           (portRef I (instanceRef data_io_pad_2))
          ))
          (net (rename data_io_2 "data_io[2]") (joined
           (portRef O (instanceRef data_io_pad_2))
           (portRef (member data_io 5))
          ))
          (net (rename data_io_c_3 "data_io_c[3]") (joined
           (portRef (member data_io_c 4) (instanceRef cpu0))
           (portRef I (instanceRef data_io_pad_3))
          ))
          (net (rename data_io_3 "data_io[3]") (joined
           (portRef O (instanceRef data_io_pad_3))
           (portRef (member data_io 4))
          ))
          (net (rename data_io_c_4 "data_io_c[4]") (joined
           (portRef (member data_io_c 3) (instanceRef cpu0))
           (portRef I (instanceRef data_io_pad_4))
          ))
          (net (rename data_io_4 "data_io[4]") (joined
           (portRef O (instanceRef data_io_pad_4))
           (portRef (member data_io 3))
          ))
          (net (rename data_io_c_5 "data_io_c[5]") (joined
           (portRef (member data_io_c 2) (instanceRef cpu0))
           (portRef I (instanceRef data_io_pad_5))
          ))
          (net (rename data_io_5 "data_io[5]") (joined
           (portRef O (instanceRef data_io_pad_5))
           (portRef (member data_io 2))
          ))
          (net (rename data_io_c_6 "data_io_c[6]") (joined
           (portRef (member data_io_c 1) (instanceRef cpu0))
           (portRef I (instanceRef data_io_pad_6))
          ))
          (net (rename data_io_6 "data_io[6]") (joined
           (portRef O (instanceRef data_io_pad_6))
           (portRef (member data_io 1))
          ))
          (net (rename data_io_c_7 "data_io_c[7]") (joined
           (portRef (member data_io_c 0) (instanceRef cpu0))
           (portRef I (instanceRef data_io_pad_7))
          ))
          (net (rename data_io_7 "data_io[7]") (joined
           (portRef O (instanceRef data_io_pad_7))
           (portRef (member data_io 0))
          ))
          (net (rename state_o_c_0 "state_o_c[0]") (joined
           (portRef (member state_o_c 5) (instanceRef cpu0))
           (portRef I (instanceRef state_o_pad_0))
          ))
          (net (rename state_o_0 "state_o[0]") (joined
           (portRef O (instanceRef state_o_pad_0))
           (portRef (member state_o 5))
          ))
          (net (rename state_o_c_1 "state_o_c[1]") (joined
           (portRef (member state_o_c 4) (instanceRef cpu0))
           (portRef I (instanceRef state_o_pad_1))
          ))
          (net (rename state_o_1 "state_o[1]") (joined
           (portRef O (instanceRef state_o_pad_1))
           (portRef (member state_o 4))
          ))
          (net (rename state_o_c_2 "state_o_c[2]") (joined
           (portRef (member state_o_c 3) (instanceRef cpu0))
           (portRef I (instanceRef state_o_pad_2))
          ))
          (net (rename state_o_2 "state_o[2]") (joined
           (portRef O (instanceRef state_o_pad_2))
           (portRef (member state_o 3))
          ))
          (net (rename state_o_c_3 "state_o_c[3]") (joined
           (portRef (member state_o_c 2) (instanceRef cpu0))
           (portRef I (instanceRef state_o_pad_3))
          ))
          (net (rename state_o_3 "state_o[3]") (joined
           (portRef O (instanceRef state_o_pad_3))
           (portRef (member state_o 2))
          ))
          (net (rename state_o_c_4 "state_o_c[4]") (joined
           (portRef (member state_o_c 1) (instanceRef cpu0))
           (portRef I (instanceRef state_o_pad_4))
          ))
          (net (rename state_o_4 "state_o[4]") (joined
           (portRef O (instanceRef state_o_pad_4))
           (portRef (member state_o 1))
          ))
          (net (rename state_o_c_5 "state_o_c[5]") (joined
           (portRef (member state_o_c 0) (instanceRef cpu0))
           (portRef I (instanceRef state_o_pad_5))
          ))
          (net (rename state_o_5 "state_o[5]") (joined
           (portRef O (instanceRef state_o_pad_5))
           (portRef (member state_o 0))
          ))
          (net (rename leds_o_0 "leds_o[0]") (joined
           (portRef O (instanceRef leds_o_pad_0))
           (portRef (member leds_o 7))
          ))
          (net (rename leds_o_1 "leds_o[1]") (joined
           (portRef O (instanceRef leds_o_pad_1))
           (portRef (member leds_o 6))
          ))
          (net (rename leds_o_2 "leds_o[2]") (joined
           (portRef O (instanceRef leds_o_pad_2))
           (portRef (member leds_o 5))
          ))
          (net (rename leds_o_3 "leds_o[3]") (joined
           (portRef O (instanceRef leds_o_pad_3))
           (portRef (member leds_o 4))
          ))
          (net (rename leds_o_4 "leds_o[4]") (joined
           (portRef O (instanceRef leds_o_pad_4))
           (portRef (member leds_o 3))
          ))
          (net (rename leds_o_5 "leds_o[5]") (joined
           (portRef O (instanceRef leds_o_pad_5))
           (portRef (member leds_o 2))
          ))
          (net (rename leds_o_6 "leds_o[6]") (joined
           (portRef O (instanceRef leds_o_pad_6))
           (portRef (member leds_o 1))
          ))
          (net (rename leds_o_7 "leds_o[7]") (joined
           (portRef O (instanceRef leds_o_pad_7))
           (portRef (member leds_o 0))
          ))
          (net hsync_o (joined
           (portRef O (instanceRef hsync_o_pad))
           (portRef hsync_o)
          ))
          (net vsync_o (joined
           (portRef O (instanceRef vsync_o_pad))
           (portRef vsync_o)
          ))
          (net red_o (joined
           (portRef O (instanceRef red_o_pad))
           (portRef red_o)
          ))
          (net green_o (joined
           (portRef O (instanceRef green_o_pad))
           (portRef green_o)
          ))
          (net blue_o (joined
           (portRef O (instanceRef blue_o_pad))
           (portRef blue_o)
          ))
          (net cpu_clk_i (joined
           (portRef Z (instanceRef cpu_clk_RNI1SG4))
           (portRef cpu_clk_i (instanceRef cpu0))
           (portRef D (instanceRef cpu_clk))
           (portRef SP (instanceRef reset_cnt_3))
           (portRef SP (instanceRef reset_cnt_2))
           (portRef SP (instanceRef reset_cnt_1))
           (portRef SP (instanceRef reset_cnt_0))
           )
           (property useglobal (string "TRUE"))
          )
          (net (rename cpu0_cpu_reset_i_4_i "cpu0.cpu_reset_i_4_i") (joined
           (portRef cpu_reset_i_4_i (instanceRef cpu0))
           (portRef I (instanceRef reset_o_pad))
          ))
          (net un1_cen_o_i (joined
           (portRef un1_cen_o_i (instanceRef cpu0))
           (portRef I (instanceRef cen_o_pad))
          ))
          (net cpu0_oe_i (joined
           (portRef cpu0_oe_i (instanceRef cpu0))
           (portRef I (instanceRef oen_o_pad))
          ))
          (net cpu0_we_i (joined
           (portRef cpu0_we_i (instanceRef cpu0))
           (portRef I (instanceRef wen_o_pad))
          ))
         )
        (property orig_inst_of (string "CC3_top"))
       )
    )
  )
  (design CC3_top (cellRef CC3_top (libraryRef work))
        (property PART (string "lcmxo2_7000he-4") ))
)

Go to most recent revision | Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.