OpenCores
URL https://opencores.org/ocsvn/System09/System09/trunk

Subversion Repositories System09

[/] [System09/] [trunk/] [rtl/] [Spartan3/] [sys09bug_s3e_rom2k_b16.vhd] - Rev 20

Go to most recent revision | Compare with Previous | Blame | View Log

--
-- SYS09BUG MONITOR ROM FOR SYSTEM09 SPARTAN3E
-- John Kent
-- 8th January 2008
--
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
library unisim;
	use unisim.vcomponents.all;
 
entity mon_rom is
    Port (
       clk   : in  std_logic;
       rst   : in  std_logic;
       cs    : in  std_logic;
       rw    : in  std_logic;
       addr  : in  std_logic_vector (10 downto 0);
       rdata : out std_logic_vector (7 downto 0);
       wdata : in  std_logic_vector (7 downto 0)
    );
end mon_rom;
 
architecture rtl of mon_rom is
 
signal we : std_logic;
signal dp : std_logic;
 
begin
 
  MON_ROM : RAMB16_S9
    generic map ( 
    INIT_00 => x"A780A610C6C07F8E1067FE8EE8FE0DFB11FB82FBC1FCACFC8EFC94FC4BF814F8",
    INIT_01 => x"17431FE4A7D0866AAFDD8C30FB265AE26F0CC6450117D07FBF00E08EF9265AA0",
    INIT_02 => x"092C2081891FF1270D817F84400417BC02179CFE8EDE01173A031777FE8E9204",
    INIT_03 => x"FE8C02300F2780E12EFE8E20C0022F60C1500417550417408B981F5C04175E86",
    INIT_04 => x"1F6E0217A4FE8E121F2D297403173B341FBC2094ADC020F902179EFE8EF52667",
    INIT_05 => x"17275E81DD271881E12708811128670317100417AE0317A4A6180417AE031721",
    INIT_06 => x"321FAB0217BE203F31C2202131EE03173F86F103170827A4A1A4A7390F260D81",
    INIT_07 => x"F0C4201F0634F0C41000C3101F390124E1AC2034062914031705201F30C07F8E",
    INIT_08 => x"10C69F0317370317E4AEF70117A4FE8E10343962320327A303170527E4AC011F",
    INIT_09 => x"03172E8602237E810425208180A610C6E1AE8F0317F5265A97031735031780A6",
    INIT_0a => x"273F8184A60F2710355B8DFFFF8E10341A24C07F8C1E29C00217BC20EE265A80",
    INIT_0b => x"431F39FB265A1E8D08C6D37F8E104F03163F865203173984A73F86A4AFA0A709",
    INIT_0c => x"A60A24C07F8C21AEB3FE16ED7FBF00008E5102170C8D4AAF04272C8D1F304AAE",
    INIT_0d => x"265A0427A1ACA0A608C6D37F8E1039A0A7A0A7A0A7FF8684A7A4A604263F8184",
    INIT_0e => x"7FBFE7F98EEB7FBFC07FBEED7FBF1429390217F202171C295F0117393D3139F7",
    INIT_0f => x"27ED7FBE24273F8184A64AAEEC011770E0B671E0B73686431F392020450017C0",
    INIT_10 => x"3B71E0B73F8673E0B7368670E0B671E0B7368670E0B70D86341FED7FBF1F301F",
    INIT_11 => x"B7368672E0B7008670E0B7FF8673E0B73A8671E0B7328622FE16C07FBFEB7FBE",
    INIT_12 => x"812A0217D27F7F6802171186D6FCBD8435FD265A20C604343973E0B73E8671E0",
    INIT_13 => x"E0EBE0E61034212991011726290234A80117F12631813D273981230217F92653",
    INIT_14 => x"FFC102355FEB2080A70527E46AE0EB02340C2904358E01170434E46AE46AE4EB",
    INIT_15 => x"E4AF0130492562AC4D2930344A0117E26F1202161386D27F731A02173F86BA27",
    INIT_16 => x"03CB2F0017E5FE8E64E720C6022320008310062762A3E4ECF901171286D6FCBD",
    INIT_17 => x"AF5B0117981F53F526646A65011780A684EB63EB62EB68011762AE750117981F",
    INIT_18 => x"0016A10116903569001796FE8E10347120028D396532B701171486C326E4AC62",
    INIT_19 => x"8DDC8D728D3948AF0229EB8DE78D618D394AAF0229F68DF28D910017E50016F8",
    INIT_1a => x"BB8D6C8D3943A70229C78DC68D498D3944AF0229D58DD18D5E8D3946AF0229E0",
    INIT_1b => x"1739C4A7808A0429A68DA58D5F8D3941A70229B18DB08D588D3942A70229BC8D",
    INIT_1c => x"8DC6FE8EF42048AEEA8DB4FE8EBF0016311FF48DA8FE8E39F726048180A63F01",
    INIT_1d => x"204AAEC58DAEFE8ED82046AECE8DBAFE8EE12044AED78DC0FE8EB4001643A6E1",
    INIT_1e => x"900016DDFE8EC4A6AA8DD6FE8ED02042A6B38DD1FE8ED92041A6BC8DCCFE8ECF",
    INIT_1f => x"098DD520CE8DC78DC08D17FF17A4FE8EBF8DB88DB08DA98DA18D27FF17A4FE8E",
    INIT_20 => x"4848483229118D903561A710343C29088D011F42290E8DB800172D86121F4D29",
    INIT_21 => x"22468112254181393080032239811D2530815B8D39E0AB04342829078D891F48",
    INIT_22 => x"4444444402340235028D0235103439021A395780032266810725618139378003",
    INIT_23 => x"3F8D438D2D860225E46880A608C602345120078B022F3981308B0F840235048D",
    INIT_24 => x"8E0926018584A6D07FBE10342D207F84048D0627D27F7D00F09F6E8235F1265A",
    INIT_25 => x"86008D8235018520E0B605260185D07F9FA60234903501A6EE27018584A620E0",
    INIT_26 => x"A7118684A70386D07FBE138D903501A70235FA27028584A6D07FBE1234458D20",
    INIT_27 => x"7F01E702C6F17FFD04E703E702A7EF7FFD0000CC30E08E39D27FB7FF86016D84",
    INIT_28 => x"84A70520098D042420810D20748D0427F27F7D30E08E16345986028D1B86F27F",
    INIT_29 => x"100D814500271016818E0027101A816C0027101B814100271008819635C50017",
    INIT_2a => x"9900168300261019C15CEF7FFC51260A81110027100B812C0027100C81990027",
    INIT_2b => x"162500271050814CEF7FB66800164A3327EF7FB67400165A3C0027105DEF7FFC",
    INIT_2c => x"F27FB704263D81312754816E002710598116273DC1F27FF65800160000CC5B00",
    INIT_2d => x"C120C0F17F7FF17FF6ED224F812080F27F7F39F17FB70426F17F7D39F27F7F39",
    INIT_2e => x"F07FF64F39F27F7FF726508102A74C84E720C6EF7FB6168D0000CC1B20E12218",
    INIT_2f => x"012519C15C04E6E78D5AEA2619C15C4FF02650814CEF7FFC3903E702A7EF7FFD",
    INIT_30 => x"E7F07FF7082719C15CF07FF6F42650C15C84A702E7EF7FF72086EF7FF604E75F",
    INIT_31 => x"30FB1524FB1051FB0472FB035CFB0267FB0139F27FF702E7EF7FF75FE4205F03",
    INIT_32 => x"F958DBF853E0FB5292F84DAFFA5051FA4C8FF847E7F84546F9423BFB1946FB18",
    INIT_33 => x"305359530000000A0DFFFFFFFF7EF991F891F891F891F87EF9C5F95485FC5572",
    INIT_34 => x"4857043E040000000A0D4B04202D202045335320524F4620332E312047554239",
    INIT_35 => x"043D59492020043D53552020043D43502020043D5053202004202D20043F5441",
    INIT_36 => x"48464504203A43432020043D422020043D412020043D50442020043D58492020",
    INIT_37 => x"7F9F6EC47F9F6EC07F9F6E1FF916D27FF7535FC07FCE103904315343565A4E49",
    INIT_38 => x"7FBC8B300F27FFFF8CCC7FBE49584F4AAF80E64AAE431FCA7F9F6EC87F9F6EC6",
    INIT_39 => x"00000000000000000000000000C27F9F6E42EE1F37F16E44AEC4EC10340822CE",
    INIT_3a => x"0000000000000000000000000000000000000000000000000000000000000000",
    INIT_3b => x"0000000000000000000000000000000000000000000000000000000000000000",
    INIT_3c => x"0000000000000000000000000000000000000000000000000000000000000000",
    INIT_3d => x"0000000000000000000000000000000000000000000000000000000000000000",
    INIT_3e => x"0000000000000000000000000000000000000000000000000000000000000000",
    INIT_3f => x"E9FEF5FE05FF01FFFDFEF9FE09FFF5FE00000000000000000000000000000000"
    )
 
    port map (
	  do   => rdata,
	  dop(0) => dp,
	  addr => addr,
	  clk  => clk,
     di   => wdata,
	  dip(0) => dp,
	  en   => cs,
	  ssr  => rst,
	  we   => we
	);
 
my_sbug : process ( rw )
begin
	 we    <= not rw;
end process;
 
end architecture rtl;
 
 

Go to most recent revision | Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.