OpenCores
URL https://opencores.org/ocsvn/a-z80/a-z80/trunk

Subversion Repositories a-z80

[/] [a-z80/] [trunk/] [cpu/] [bus/] [address_latch.bdf] - Rev 8

Compare with Previous | Blame | View Log

/*
WARNING: Do NOT edit the input and output ports in this file in a text
editor if you plan to continue editing the block that represents it in
the Block Editor! File corruption is VERY likely to occur.
*/
/*
Copyright (C) 1991-2013 Altera Corporation
Your use of Altera Corporation's design tools, logic functions 
and other software and tools, and its AMPP partner logic 
functions, and any output files from any of the foregoing 
(including device programming or simulation files), and any 
associated documentation or information are expressly subject 
to the terms and conditions of the Altera Program License 
Subscription Agreement, Altera MegaCore Function License 
Agreement, or other applicable license agreement, including, 
without limitation, that your use is for the sole purpose of 
programming logic devices manufactured by Altera and sold by 
Altera or its authorized distributors.  Please refer to the 
applicable agreement for further details.
*/
(header "graphic" (version "1.4"))
(pin
        (input)
        (rect 24 216 200 232)
        (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6)))
        (text "ctl_inc_cy" (rect 9 0 59 12)(font "Arial" ))
        (pt 176 8)
        (drawing
                (line (pt 92 12)(pt 117 12))
                (line (pt 92 4)(pt 117 4))
                (line (pt 121 8)(pt 176 8))
                (line (pt 92 12)(pt 92 4))
                (line (pt 117 4)(pt 121 8))
                (line (pt 117 12)(pt 121 8))
        )
        (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6)))
)
(pin
        (input)
        (rect 24 184 200 200)
        (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6)))
        (text "ctl_inc_dec" (rect 9 0 64 12)(font "Arial" ))
        (pt 176 8)
        (drawing
                (line (pt 92 12)(pt 117 12))
                (line (pt 92 4)(pt 117 4))
                (line (pt 121 8)(pt 176 8))
                (line (pt 92 12)(pt 92 4))
                (line (pt 117 4)(pt 121 8))
                (line (pt 117 12)(pt 121 8))
        )
        (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6)))
)
(pin
        (input)
        (rect 24 312 200 328)
        (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6)))
        (text "ctl_al_we" (rect 9 0 53 12)(font "Arial" ))
        (pt 176 8)
        (drawing
                (line (pt 92 12)(pt 117 12))
                (line (pt 92 4)(pt 117 4))
                (line (pt 121 8)(pt 176 8))
                (line (pt 92 12)(pt 92 4))
                (line (pt 117 4)(pt 121 8))
                (line (pt 117 12)(pt 121 8))
        )
        (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6)))
)
(pin
        (input)
        (rect 24 168 200 184)
        (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6)))
        (text "ctl_inc_limit6" (rect 9 0 72 12)(font "Arial" ))
        (pt 176 8)
        (drawing
                (line (pt 92 12)(pt 117 12))
                (line (pt 92 4)(pt 117 4))
                (line (pt 121 8)(pt 176 8))
                (line (pt 92 12)(pt 92 4))
                (line (pt 117 4)(pt 121 8))
                (line (pt 117 12)(pt 121 8))
        )
        (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6)))
)
(pin
        (input)
        (rect 24 16 200 32)
        (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6)))
        (text "ctl_bus_inc_oe" (rect 9 0 82 12)(font "Arial" ))
        (pt 176 8)
        (drawing
                (line (pt 92 12)(pt 117 12))
                (line (pt 92 4)(pt 117 4))
                (line (pt 121 8)(pt 176 8))
                (line (pt 92 12)(pt 92 4))
                (line (pt 117 4)(pt 121 8))
                (line (pt 117 12)(pt 121 8))
        )
        (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6)))
)
(pin
        (input)
        (rect 24 296 200 312)
        (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6)))
        (text "clk" (rect 9 0 23 12)(font "Arial" ))
        (pt 176 8)
        (drawing
                (line (pt 92 12)(pt 117 12))
                (line (pt 92 4)(pt 117 4))
                (line (pt 121 8)(pt 176 8))
                (line (pt 92 12)(pt 92 4))
                (line (pt 117 4)(pt 121 8))
                (line (pt 117 12)(pt 121 8))
        )
        (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6)))
)
(pin
        (input)
        (rect 24 432 200 448)
        (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6)))
        (text "ctl_apin_mux" (rect 9 0 73 12)(font "Arial" ))
        (pt 176 8)
        (drawing
                (line (pt 92 12)(pt 117 12))
                (line (pt 92 4)(pt 117 4))
                (line (pt 121 8)(pt 176 8))
                (line (pt 92 12)(pt 92 4))
                (line (pt 117 4)(pt 121 8))
                (line (pt 117 12)(pt 121 8))
        )
        (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6)))
)
(pin
        (input)
        (rect 24 416 200 432)
        (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6)))
        (text "ctl_apin_mux2" (rect 9 0 79 12)(font "Arial" ))
        (pt 176 8)
        (drawing
                (line (pt 92 12)(pt 117 12))
                (line (pt 92 4)(pt 117 4))
                (line (pt 121 8)(pt 176 8))
                (line (pt 92 12)(pt 92 4))
                (line (pt 117 4)(pt 121 8))
                (line (pt 117 12)(pt 121 8))
        )
        (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6)))
)
(pin
        (input)
        (rect 24 48 200 64)
        (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6)))
        (text "clrpc" (rect 9 0 32 12)(font "Arial" ))
        (pt 176 8)
        (drawing
                (line (pt 92 12)(pt 117 12))
                (line (pt 92 4)(pt 117 4))
                (line (pt 121 8)(pt 176 8))
                (line (pt 92 12)(pt 92 4))
                (line (pt 117 4)(pt 121 8))
                (line (pt 117 12)(pt 121 8))
        )
        (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6)))
)
(pin
        (input)
        (rect 24 352 200 368)
        (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6)))
        (text "nreset" (rect 9 0 39 12)(font "Arial" ))
        (pt 176 8)
        (drawing
                (line (pt 92 12)(pt 117 12))
                (line (pt 92 4)(pt 117 4))
                (line (pt 121 8)(pt 176 8))
                (line (pt 92 12)(pt 92 4))
                (line (pt 117 4)(pt 121 8))
                (line (pt 117 12)(pt 121 8))
        )
        (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6)))
)
(pin
        (output)
        (rect 1008 352 1184 368)
        (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
        (text "address[15..0]" (rect 90 0 160 12)(font "Arial" ))
        (pt 0 8)
        (drawing
                (line (pt 0 8)(pt 52 8))
                (line (pt 52 4)(pt 78 4))
                (line (pt 52 12)(pt 78 12))
                (line (pt 52 12)(pt 52 4))
                (line (pt 78 4)(pt 82 8))
                (line (pt 82 8)(pt 78 12))
                (line (pt 78 12)(pt 82 8))
        )
)
(pin
        (output)
        (rect 1008 176 1184 192)
        (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
        (text "address_is_1" (rect 90 0 154 12)(font "Arial" ))
        (pt 0 8)
        (drawing
                (line (pt 0 8)(pt 52 8))
                (line (pt 52 4)(pt 78 4))
                (line (pt 52 12)(pt 78 12))
                (line (pt 52 12)(pt 52 4))
                (line (pt 78 4)(pt 82 8))
                (line (pt 82 8)(pt 78 12))
                (line (pt 78 12)(pt 82 8))
        )
)
(pin
        (bidir)
        (rect 24 80 200 96)
        (text "BIDIR" (rect 151 0 175 10)(font "Arial" (font_size 6)))
        (text "abus[15..0]" (rect 31 0 86 12)(font "Arial" ))
        (pt 176 8)
        (drawing
                (line (pt 120 4)(pt 98 4))
                (line (pt 176 8)(pt 124 8))
                (line (pt 120 12)(pt 98 12))
                (line (pt 98 4)(pt 94 8))
                (line (pt 98 12)(pt 94 8))
                (line (pt 120 4)(pt 124 8))
                (line (pt 124 8)(pt 120 12))
        )
        (flipy)
        (text "VCC" (rect 152 7 172 17)(font "Arial" (font_size 6)))
)
(symbol
        (rect 344 72 392 104)
        (text "TRI" (rect 32 0 47 10)(font "Arial" (font_size 6)))
        (text "inst4" (rect 22 21 45 33)(font "Arial" ))
        (port
                (pt 48 16)
                (input)
                (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible))
                (text "IN" (rect 35 7 46 19)(font "Courier New" (bold))(invisible))
                (line (pt 48 16)(pt 34 16))
        )
        (port
                (pt 24 0)
                (input)
                (text "OE" (rect 26 0 37 12)(font "Courier New" (bold))(invisible))
                (text "OE" (rect 11 0 22 12)(font "Courier New" (bold))(invisible))
                (line (pt 24 12)(pt 24 0))
        )
        (port
                (pt 0 16)
                (output)
                (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (line (pt 16 16)(pt 0 16))
        )
        (drawing
                (line (pt 34 25)(pt 34 7))
                (line (pt 34 25)(pt 16 16))
                (line (pt 34 7)(pt 16 16))
        )
        (flipy)
)
(symbol
        (rect 464 144 664 272)
        (text "inc_dec" (rect 5 0 49 14)(font "Arial" (font_size 8)))
        (text "inst_inc_dec" (rect 8 112 69 124)(font "Arial" ))
        (port
                (pt 0 32)
                (input)
                (text "limit6" (rect 0 0 27 14)(font "Arial" (font_size 8)))
                (text "limit6" (rect 21 27 48 41)(font "Arial" (font_size 8)))
                (line (pt 0 32)(pt 16 32))
        )
        (port
                (pt 0 48)
                (input)
                (text "decrement" (rect 0 0 60 14)(font "Arial" (font_size 8)))
                (text "decrement" (rect 21 43 81 57)(font "Arial" (font_size 8)))
                (line (pt 0 48)(pt 16 48))
        )
        (port
                (pt 0 64)
                (input)
                (text "d[15..0]" (rect 0 0 42 14)(font "Arial" (font_size 8)))
                (text "d[15..0]" (rect 21 59 63 73)(font "Arial" (font_size 8)))
                (line (pt 0 64)(pt 16 64)(line_width 3))
        )
        (port
                (pt 0 80)
                (input)
                (text "carry_in" (rect 0 0 47 14)(font "Arial" (font_size 8)))
                (text "carry_in" (rect 21 75 68 89)(font "Arial" (font_size 8)))
                (line (pt 0 80)(pt 16 80))
        )
        (port
                (pt 200 32)
                (output)
                (text "address[15..0]" (rect 0 0 82 14)(font "Arial" (font_size 8)))
                (text "address[15..0]" (rect 97 27 179 41)(font "Arial" (font_size 8)))
                (line (pt 200 32)(pt 184 32)(line_width 3))
        )
        (drawing
                (rectangle (rect 16 16 184 112))
        )
)
(symbol
        (rect 336 264 400 344)
        (text "DFFE" (rect 1 0 25 10)(font "Arial" (font_size 6)))
        (text "alatch" (rect 3 68 32 80)(font "Arial" ))
        (port
                (pt 32 0)
                (input)
                (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold)))
                (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold)))
                (line (pt 32 4)(pt 32 0))
        )
        (port
                (pt 32 80)
                (input)
                (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold)))
                (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold)))
                (line (pt 32 80)(pt 32 76))
        )
        (port
                (pt 0 24)
                (input)
                (text "D" (rect 14 20 19 32)(font "Courier New" (bold)))
                (text "D" (rect 14 20 19 32)(font "Courier New" (bold)))
                (line (pt 0 24)(pt 12 24))
        )
        (port
                (pt 0 40)
                (input)
                (text "CLK" (rect 2 28 19 40)(font "Courier New" (bold))(invisible))
                (text "CLK" (rect 2 28 19 40)(font "Courier New" (bold))(invisible))
                (line (pt 0 40)(pt 12 40))
        )
        (port
                (pt 0 56)
                (input)
                (text "ENA" (rect 14 50 31 62)(font "Courier New" (bold)))
                (text "ENA" (rect 14 50 31 62)(font "Courier New" (bold)))
                (line (pt 0 56)(pt 12 56))
        )
        (port
                (pt 64 24)
                (output)
                (text "Q" (rect 44 20 49 32)(font "Courier New" (bold)))
                (text "Q" (rect 44 20 49 32)(font "Courier New" (bold)))
                (line (pt 53 24)(pt 64 24))
        )
        (drawing
                (line (pt 12 68)(pt 52 68))
                (line (pt 12 12)(pt 52 12))
                (line (pt 52 68)(pt 52 12))
                (line (pt 12 68)(pt 12 12))
                (line (pt 12 34)(pt 19 41))
                (line (pt 18 41)(pt 12 47))
                (circle (rect 28 4 36 12))
                (circle (rect 28 68 36 76))
        )
)
(symbol
        (rect 824 192 888 336)
        (text "OR8" (rect 1 0 19 10)(font "Arial" (font_size 6)))
        (text "inst5" (rect 3 133 26 145)(font "Arial" ))
        (port
                (pt 0 16)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (line (pt 0 16)(pt 14 16))
        )
        (port
                (pt 0 48)
                (input)
                (text "IN3" (rect 2 39 19 51)(font "Courier New" (bold))(invisible))
                (text "IN3" (rect 2 39 19 51)(font "Courier New" (bold))(invisible))
                (line (pt 0 48)(pt 14 48))
        )
        (port
                (pt 0 32)
                (input)
                (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (line (pt 0 32)(pt 14 32))
        )
        (port
                (pt 0 64)
                (input)
                (text "IN4" (rect 2 55 19 67)(font "Courier New" (bold))(invisible))
                (text "IN4" (rect 2 55 19 67)(font "Courier New" (bold))(invisible))
                (line (pt 0 64)(pt 16 64))
        )
        (port
                (pt 0 96)
                (input)
                (text "IN6" (rect 2 87 19 99)(font "Courier New" (bold))(invisible))
                (text "IN6" (rect 2 87 19 99)(font "Courier New" (bold))(invisible))
                (line (pt 0 96)(pt 14 96))
        )
        (port
                (pt 0 80)
                (input)
                (text "IN5" (rect 2 71 19 83)(font "Courier New" (bold))(invisible))
                (text "IN5" (rect 2 71 19 83)(font "Courier New" (bold))(invisible))
                (line (pt 0 80)(pt 16 80))
        )
        (port
                (pt 0 112)
                (input)
                (text "IN7" (rect 2 103 19 115)(font "Courier New" (bold))(invisible))
                (text "IN7" (rect 2 103 19 115)(font "Courier New" (bold))(invisible))
                (line (pt 0 112)(pt 14 112))
        )
        (port
                (pt 0 128)
                (input)
                (text "IN8" (rect 2 119 19 131)(font "Courier New" (bold))(invisible))
                (text "IN8" (rect 2 119 19 131)(font "Courier New" (bold))(invisible))
                (line (pt 0 128)(pt 14 128))
        )
        (port
                (pt 64 72)
                (output)
                (text "OUT" (rect 48 63 65 75)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 48 63 65 75)(font "Courier New" (bold))(invisible))
                (line (pt 48 72)(pt 64 72))
        )
        (drawing
                (line (pt 14 131)(pt 14 83))
                (line (pt 14 84)(pt 25 84))
                (line (pt 14 61)(pt 25 61))
                (line (pt 14 61)(pt 14 13))
                (arc (pt 25 83)(pt 49 72)(rect -6 21 57 84))
                (arc (pt 49 72)(pt 25 61)(rect -6 61 57 124))
                (arc (pt 7 77)(pt 7 67)(rect -14 56 19 89))
        )
)
(symbol
        (rect 824 40 888 184)
        (text "OR8" (rect 1 0 19 10)(font "Arial" (font_size 6)))
        (text "inst6" (rect 3 133 26 145)(font "Arial" ))
        (port
                (pt 0 16)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (line (pt 0 16)(pt 14 16))
        )
        (port
                (pt 0 48)
                (input)
                (text "IN3" (rect 2 39 19 51)(font "Courier New" (bold))(invisible))
                (text "IN3" (rect 2 39 19 51)(font "Courier New" (bold))(invisible))
                (line (pt 0 48)(pt 14 48))
        )
        (port
                (pt 0 32)
                (input)
                (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (line (pt 0 32)(pt 14 32))
        )
        (port
                (pt 0 64)
                (input)
                (text "IN4" (rect 2 55 19 67)(font "Courier New" (bold))(invisible))
                (text "IN4" (rect 2 55 19 67)(font "Courier New" (bold))(invisible))
                (line (pt 0 64)(pt 16 64))
        )
        (port
                (pt 0 96)
                (input)
                (text "IN6" (rect 2 87 19 99)(font "Courier New" (bold))(invisible))
                (text "IN6" (rect 2 87 19 99)(font "Courier New" (bold))(invisible))
                (line (pt 0 96)(pt 14 96))
        )
        (port
                (pt 0 80)
                (input)
                (text "IN5" (rect 2 71 19 83)(font "Courier New" (bold))(invisible))
                (text "IN5" (rect 2 71 19 83)(font "Courier New" (bold))(invisible))
                (line (pt 0 80)(pt 16 80))
        )
        (port
                (pt 0 112)
                (input)
                (text "IN7" (rect 2 103 19 115)(font "Courier New" (bold))(invisible))
                (text "IN7" (rect 2 103 19 115)(font "Courier New" (bold))(invisible))
                (line (pt 0 112)(pt 14 112))
        )
        (port
                (pt 0 128)
                (input)
                (text "IN8" (rect 2 119 19 131)(font "Courier New" (bold))(invisible))
                (text "IN8" (rect 2 119 19 131)(font "Courier New" (bold))(invisible))
                (line (pt 0 128)(pt 14 128))
        )
        (port
                (pt 64 72)
                (output)
                (text "OUT" (rect 48 63 65 75)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 48 63 65 75)(font "Courier New" (bold))(invisible))
                (line (pt 48 72)(pt 64 72))
        )
        (drawing
                (line (pt 14 131)(pt 14 83))
                (line (pt 14 84)(pt 25 84))
                (line (pt 14 61)(pt 25 61))
                (line (pt 14 61)(pt 14 13))
                (arc (pt 25 83)(pt 49 72)(rect -6 21 57 84))
                (arc (pt 49 72)(pt 25 61)(rect -6 61 57 124))
                (arc (pt 7 77)(pt 7 67)(rect -14 56 19 89))
        )
)
(symbol
        (rect 768 304 816 336)
        (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6)))
        (text "n" (rect 3 21 8 33)(font "Arial" ))
        (port
                (pt 0 16)
                (input)
                (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible))
                (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible))
                (line (pt 0 16)(pt 13 16))
        )
        (port
                (pt 48 16)
                (output)
                (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
                (line (pt 39 16)(pt 48 16))
        )
        (drawing
                (line (pt 13 25)(pt 13 7))
                (line (pt 13 7)(pt 31 16))
                (line (pt 13 25)(pt 31 16))
                (circle (rect 31 12 39 20))
        )
)
(symbol
        (rect 920 160 984 208)
        (text "NOR2" (rect 1 0 26 10)(font "Arial" (font_size 6)))
        (text "inst" (rect 3 37 20 49)(font "Arial" ))
        (port
                (pt 0 32)
                (input)
                (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (line (pt 0 32)(pt 14 32))
        )
        (port
                (pt 0 16)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (line (pt 0 16)(pt 14 16))
        )
        (port
                (pt 64 24)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (line (pt 54 24)(pt 64 24))
        )
        (drawing
                (line (pt 12 36)(pt 23 36))
                (line (pt 12 13)(pt 23 13))
                (arc (pt 46 24)(pt 23 13)(rect -6 13 53 72))
                (arc (pt 5 29)(pt 5 19)(rect -16 8 17 41))
                (arc (pt 23 35)(pt 46 24)(rect -6 -23 53 36))
                (circle (rect 46 20 54 28))
        )
)
(symbol
        (rect 440 320 528 464)
        (text "address_mux" (rect 5 0 82 14)(font "Arial" (font_size 8)))
        (text "mux" (rect 0 128 21 140)(font "Arial" ))
        (port
                (pt 0 32)
                (input)
                (text "in1[15..0]" (rect 0 0 51 14)(font "Arial" (font_size 8)))
                (text "in1[15..0]" (rect 21 27 72 41)(font "Arial" (font_size 8)))
                (line (pt 0 32)(pt 16 32)(line_width 3))
        )
        (port
                (pt 0 72)
                (input)
                (text "in0[15..0]" (rect 0 0 51 14)(font "Arial" (font_size 8)))
                (text "in0[15..0]" (rect 21 67 72 81)(font "Arial" (font_size 8)))
                (line (pt 0 72)(pt 16 72)(line_width 3))
        )
        (port
                (pt 0 120)
                (input)
                (text "select" (rect 0 0 34 14)(font "Arial" (font_size 8)))
                (text "select" (rect 5 99 39 113)(font "Arial" (font_size 8)))
                (line (pt 0 120)(pt 16 120))
        )
        (port
                (pt 88 56)
                (output)
                (text "out[15..0]" (rect -72 0 -19 14)(font "Arial" (font_size 8)))
                (text "out[15..0]" (rect 24 48 77 62)(font "Arial" (font_size 8)))
                (line (pt 88 56)(pt 72 56)(line_width 3))
        )
        (drawing
                (line (pt 8 16)(pt 80 40))
                (line (pt 8 120)(pt 48 120))
                (line (pt 80 40)(pt 80 72))
                (line (pt 8 16)(pt 8 96))
                (line (pt 80 72)(pt 8 96))
                (line (pt 48 120)(pt 48 80))
        )
)
(symbol
        (rect 584 304 672 448)
        (text "address_mux" (rect 5 0 82 14)(font "Arial" (font_size 8)))
        (text "inst7" (rect 0 128 23 140)(font "Arial" ))
        (port
                (pt 0 32)
                (input)
                (text "in1[15..0]" (rect 0 0 51 14)(font "Arial" (font_size 8)))
                (text "in1[15..0]" (rect 21 27 72 41)(font "Arial" (font_size 8)))
                (line (pt 0 32)(pt 16 32)(line_width 3))
        )
        (port
                (pt 0 72)
                (input)
                (text "in0[15..0]" (rect 0 0 51 14)(font "Arial" (font_size 8)))
                (text "in0[15..0]" (rect 21 67 72 81)(font "Arial" (font_size 8)))
                (line (pt 0 72)(pt 16 72)(line_width 3))
        )
        (port
                (pt 0 120)
                (input)
                (text "select" (rect 0 0 34 14)(font "Arial" (font_size 8)))
                (text "select" (rect 5 99 39 113)(font "Arial" (font_size 8)))
                (line (pt 0 120)(pt 16 120))
        )
        (port
                (pt 88 56)
                (output)
                (text "out[15..0]" (rect -72 0 -19 14)(font "Arial" (font_size 8)))
                (text "out[15..0]" (rect 24 48 77 62)(font "Arial" (font_size 8)))
                (line (pt 88 56)(pt 72 56)(line_width 3))
        )
        (drawing
                (line (pt 8 16)(pt 80 40))
                (line (pt 8 120)(pt 48 120))
                (line (pt 80 40)(pt 80 72))
                (line (pt 8 16)(pt 8 96))
                (line (pt 80 72)(pt 8 96))
                (line (pt 48 120)(pt 48 80))
        )
)
(symbol
        (rect 280 104 328 168)
        (text "AND2" (rect 0 1 10 25)(font "Arial" (font_size 6))(vertical))
        (text "inst3" (rect 37 3 49 26)(font "Arial" )(vertical))
        (port
                (pt 16 0)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 7 2 19 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 0)(pt 16 14))
        )
        (port
                (pt 32 0)
                (input)
                (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 23 2 35 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 0)(pt 32 14))
        )
        (port
                (pt 24 64)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 15 48 27 65)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 42)(pt 24 64))
        )
        (drawing
                (line (pt 12 14)(pt 12 30))
                (line (pt 37 14)(pt 37 31))
                (line (pt 12 14)(pt 37 14))
                (arc (pt 12 30)(pt 37 31)(rect 12 18 37 43))
        )
        (flipy_rotate90)
)
(symbol
        (rect 224 40 272 72)
        (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6)))
        (text "inst9" (rect 3 21 26 33)(font "Arial" ))
        (port
                (pt 0 16)
                (input)
                (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible))
                (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible))
                (line (pt 0 16)(pt 13 16))
        )
        (port
                (pt 48 16)
                (output)
                (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
                (line (pt 39 16)(pt 48 16))
        )
        (drawing
                (line (pt 13 25)(pt 13 7))
                (line (pt 13 7)(pt 31 16))
                (line (pt 13 25)(pt 31 16))
                (circle (rect 31 12 39 20))
        )
)
(connector
        (pt 440 208)
        (pt 440 288)
        (bus)
)
(connector
        (pt 824 320)
        (pt 816 320)
)
(connector
        (pt 904 112)
        (pt 904 176)
)
(connector
        (pt 904 264)
        (pt 904 192)
)
(connector
        (pt 560 288)
        (pt 560 336)
        (bus)
)
(connector
        (pt 200 176)
        (pt 464 176)
)
(connector
        (pt 200 192)
        (pt 464 192)
)
(connector
        (pt 440 208)
        (pt 464 208)
        (bus)
)
(connector
        (pt 200 224)
        (pt 464 224)
)
(connector
        (pt 304 288)
        (pt 336 288)
        (bus)
)
(connector
        (pt 200 304)
        (pt 336 304)
)
(connector
        (text "Q[7]" (rect 790 212 811 224)(font "Arial" ))
        (pt 744 208)
        (pt 824 208)
)
(connector
        (text "Q[5]" (rect 790 244 811 256)(font "Arial" ))
        (pt 744 240)
        (pt 824 240)
)
(connector
        (text "Q[6]" (rect 790 228 811 240)(font "Arial" ))
        (pt 744 224)
        (pt 824 224)
)
(connector
        (text "Q[4]" (rect 790 260 811 272)(font "Arial" ))
        (pt 744 256)
        (pt 824 256)
)
(connector
        (text "Q[2]" (rect 790 292 811 304)(font "Arial" ))
        (pt 744 288)
        (pt 824 288)
)
(connector
        (text "Q[3]" (rect 790 276 811 288)(font "Arial" ))
        (pt 744 272)
        (pt 824 272)
)
(connector
        (text "Q[1]" (rect 750 308 771 320)(font "Arial" ))
        (pt 744 304)
        (pt 824 304)
)
(connector
        (text "Q[15]" (rect 785 60 812 72)(font "Arial" ))
        (pt 744 56)
        (pt 824 56)
)
(connector
        (text "Q[13]" (rect 785 92 812 104)(font "Arial" ))
        (pt 744 88)
        (pt 824 88)
)
(connector
        (text "Q[14]" (rect 785 76 812 88)(font "Arial" ))
        (pt 744 72)
        (pt 824 72)
)
(connector
        (text "Q[12]" (rect 785 108 812 120)(font "Arial" ))
        (pt 744 104)
        (pt 824 104)
)
(connector
        (text "Q[10]" (rect 785 140 812 152)(font "Arial" ))
        (pt 744 136)
        (pt 824 136)
)
(connector
        (text "Q[11]" (rect 785 124 812 136)(font "Arial" ))
        (pt 744 120)
        (pt 824 120)
)
(connector
        (text "Q[9]" (rect 790 156 811 168)(font "Arial" ))
        (pt 744 152)
        (pt 824 152)
)
(connector
        (text "Q[8]" (rect 790 172 811 184)(font "Arial" ))
        (pt 744 168)
        (pt 824 168)
)
(connector
        (text "Q[0]" (rect 748 326 769 338)(font "Arial" ))
        (pt 744 320)
        (pt 768 320)
)
(connector
        (pt 904 192)
        (pt 920 192)
)
(connector
        (pt 904 176)
        (pt 920 176)
)
(connector
        (pt 304 392)
        (pt 440 392)
        (bus)
)
(connector
        (pt 200 440)
        (pt 440 440)
)
(connector
        (pt 560 336)
        (pt 584 336)
        (bus)
)
(connector
        (pt 200 424)
        (pt 584 424)
)
(connector
        (pt 440 288)
        (pt 560 288)
        (bus)
)
(connector
        (pt 560 288)
        (pt 744 288)
        (bus)
)
(connector
        (pt 744 208)
        (pt 744 224)
        (bus)
)
(connector
        (pt 744 224)
        (pt 744 240)
        (bus)
)
(connector
        (text "Q[15..0]" (rect 712 231 724 271)(font "Arial" )(vertical))
        (pt 744 240)
        (pt 744 256)
        (bus)
)
(connector
        (pt 744 256)
        (pt 744 272)
        (bus)
)
(connector
        (pt 744 272)
        (pt 744 288)
        (bus)
)
(connector
        (pt 744 288)
        (pt 744 304)
        (bus)
)
(connector
        (pt 744 304)
        (pt 744 320)
        (bus)
)
(connector
        (pt 744 56)
        (pt 744 72)
        (bus)
)
(connector
        (pt 744 72)
        (pt 744 88)
        (bus)
)
(connector
        (pt 744 88)
        (pt 744 104)
        (bus)
)
(connector
        (pt 744 104)
        (pt 744 120)
        (bus)
)
(connector
        (pt 744 120)
        (pt 744 136)
        (bus)
)
(connector
        (pt 744 136)
        (pt 744 152)
        (bus)
)
(connector
        (pt 744 152)
        (pt 744 168)
        (bus)
)
(connector
        (pt 744 168)
        (pt 744 208)
        (bus)
)
(connector
        (pt 400 288)
        (pt 440 288)
        (bus)
)
(connector
        (pt 888 264)
        (pt 904 264)
)
(connector
        (pt 888 112)
        (pt 904 112)
)
(connector
        (pt 984 184)
        (pt 1008 184)
)
(connector
        (pt 528 376)
        (pt 584 376)
        (bus)
)
(connector
        (pt 672 360)
        (pt 1008 360)
        (bus)
)
(connector
        (pt 200 320)
        (pt 336 320)
)
(connector
        (pt 368 344)
        (pt 368 360)
)
(connector
        (pt 368 360)
        (pt 200 360)
)
(connector
        (pt 688 176)
        (pt 688 88)
        (bus)
)
(connector
        (pt 664 176)
        (pt 688 176)
        (bus)
)
(connector
        (pt 304 288)
        (pt 304 392)
        (bus)
)
(connector
        (pt 312 104)
        (pt 312 88)
        (bus)
)
(connector
        (pt 416 352)
        (pt 416 88)
        (bus)
)
(connector
        (pt 440 352)
        (pt 416 352)
        (bus)
)
(connector
        (pt 200 88)
        (pt 312 88)
        (bus)
)
(connector
        (pt 312 88)
        (pt 344 88)
        (bus)
)
(connector
        (pt 392 88)
        (pt 416 88)
        (bus)
)
(connector
        (pt 416 88)
        (pt 688 88)
        (bus)
)
(connector
        (pt 296 56)
        (pt 272 56)
)
(connector
        (pt 296 104)
        (pt 296 56)
)
(connector
        (pt 200 56)
        (pt 224 56)
)
(connector
        (pt 368 24)
        (pt 200 24)
)
(connector
        (pt 368 72)
        (pt 368 24)
)
(connector
        (text "abusz[15..0]" (rect 287 240 299 300)(font "Arial" )(vertical))
        (pt 304 168)
        (pt 304 288)
        (bus)
)
(junction (pt 304 288))
(junction (pt 440 288))
(junction (pt 560 288))
(junction (pt 744 288))
(junction (pt 744 208))
(junction (pt 744 240))
(junction (pt 744 224))
(junction (pt 744 256))
(junction (pt 744 272))
(junction (pt 744 304))
(junction (pt 744 88))
(junction (pt 744 72))
(junction (pt 744 104))
(junction (pt 744 136))
(junction (pt 744 120))
(junction (pt 744 152))
(junction (pt 744 168))
(junction (pt 416 88))
(junction (pt 312 88))
(text "Address increment / decrement" (rect 488 112 667 126)(font "Arial" (font_size 8)))
(text "A kludge to work around the latch => flop translation" (rect 440 472 737 486)(font "Arial" (font_size 8)))
(title_block
        (rect 24 472 281 524)
        (name "title-custom-small")
        (section (rect 0 35 256 51)(text "DATE" (rect 2 0 30 12)(font "Arial" ))(text "May 17, 2014, 2016" (rect 56 3 168 17)(font "Arial" (font_size 8)))(border))
        (section (rect 0 18 256 34)(text "DESIGNER" (rect 2 0 59 12)(font "Arial" ))(text "Goran Devic" (rect 56 2 135 17)(font "Arial" (font_size 9)))(border))
        (section (rect 104 0 256 17)(text "MODULE" (rect 2 1 48 13)(font "Arial" ))(text "address_latch" (rect 43 2 139 17)(font "Arial" (font_size 9)(bold)))(border))
        (section (rect 0 0 256 17)(text "PROJECT" (rect 2 0 52 12)(font "Arial" ))(text "A-Z80" (rect 56 2 94 17)(font "Arial" (font_size 9)(bold)))(border))
        (section (rect 192 35 256 51)(text "REV" (rect 2 1 25 13)(font "Arial" ))(text "1.5" (rect 43 3 60 17)(font "Arial" (font_size 8)))(border))
        (drawing
        )
)

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.